exportcommit: replace backticks with safe_pipe_capture() or system() - initial pass