iptables plugin: Remove the shipped version of libiptc.
[collectd.git] / src / Makefile.am
1 SUBDIRS = libcollectdclient
2 if BUILD_WITH_OWN_LIBOCONFIG
3 SUBDIRS += liboconfig
4 endif
5
6 if COMPILER_IS_GCC
7 AM_CFLAGS = -Wall -Werror
8 endif
9
10 AM_CPPFLAGS = -DPREFIX='"${prefix}"'
11 AM_CPPFLAGS += -DCONFIGFILE='"${sysconfdir}/${PACKAGE_NAME}.conf"'
12 AM_CPPFLAGS += -DLOCALSTATEDIR='"${localstatedir}"'
13 AM_CPPFLAGS += -DPKGLOCALSTATEDIR='"${localstatedir}/lib/${PACKAGE_NAME}"'
14 if BUILD_FEATURE_DAEMON
15 AM_CPPFLAGS += -DPIDFILE='"${localstatedir}/run/${PACKAGE_NAME}.pid"'
16 endif
17 AM_CPPFLAGS += -DPLUGINDIR='"${pkglibdir}"'
18 AM_CPPFLAGS += -DPKGDATADIR='"${pkgdatadir}"'
19
20 sbin_PROGRAMS = collectd collectdmon
21 bin_PROGRAMS = collectd-nagios collectdctl
22
23 collectd_SOURCES = collectd.c collectd.h \
24                    common.c common.h \
25                    configfile.c configfile.h \
26                    filter_chain.c filter_chain.h \
27                    meta_data.c meta_data.h \
28                    plugin.c plugin.h \
29                    utils_avltree.c utils_avltree.h \
30                    utils_cache.c utils_cache.h \
31                    utils_complain.c utils_complain.h \
32                    utils_heap.c utils_heap.h \
33                    utils_ignorelist.c utils_ignorelist.h \
34                    utils_llist.c utils_llist.h \
35                    utils_parse_option.c utils_parse_option.h \
36                    utils_tail_match.c utils_tail_match.h \
37                    utils_match.c utils_match.h \
38                    utils_subst.c utils_subst.h \
39                    utils_tail.c utils_tail.h \
40                    utils_time.c utils_time.h \
41                    types_list.c types_list.h
42
43 collectd_CPPFLAGS =  $(AM_CPPFLAGS) $(LTDLINCL)
44 collectd_CFLAGS = $(AM_CFLAGS)
45 collectd_LDFLAGS = -export-dynamic
46 collectd_LDADD =
47 collectd_DEPENDENCIES =
48
49 # Link to these libraries..
50 if BUILD_WITH_LIBRT
51 collectd_LDADD += -lrt
52 endif
53 if BUILD_WITH_LIBPOSIX4
54 collectd_LDADD += -lposix4
55 endif
56 if BUILD_WITH_LIBSOCKET
57 collectd_LDADD += -lsocket
58 endif
59 if BUILD_WITH_LIBRESOLV
60 collectd_LDADD += -lresolv
61 endif
62 if BUILD_WITH_LIBPTHREAD
63 collectd_LDADD += -lpthread
64 endif
65 if BUILD_WITH_LIBKSTAT
66 collectd_LDADD += -lkstat
67 endif
68 if BUILD_WITH_LIBDEVINFO
69 collectd_LDADD += -ldevinfo
70 endif
71 if BUILD_AIX
72 collectd_LDFLAGS += -Wl,-bexpall,-brtllib
73 collectd_LDADD += -lm
74 endif
75
76 # The daemon needs to call sg_init, so we need to link it against libstatgrab,
77 # too. -octo
78 if BUILD_WITH_LIBSTATGRAB
79 collectd_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
80 collectd_LDADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
81 endif
82
83 if BUILD_WITH_OWN_LIBOCONFIG
84 collectd_LDADD += $(LIBLTDL) liboconfig/liboconfig.la
85 collectd_DEPENDENCIES += $(LIBLTDL) liboconfig/liboconfig.la
86 else
87 collectd_LDADD += -loconfig
88 endif
89
90 collectdmon_SOURCES = collectdmon.c
91 collectdmon_CPPFLAGS = $(AM_CPPFLAGS)
92
93 collectd_nagios_SOURCES = collectd-nagios.c
94 collectd_nagios_LDADD =
95 if BUILD_WITH_LIBSOCKET
96 collectd_nagios_LDADD += -lsocket
97 endif
98 if BUILD_AIX
99 collectd_nagios_LDADD += -lm
100 endif
101
102 collectd_nagios_LDADD += libcollectdclient/libcollectdclient.la
103 collectd_nagios_DEPENDENCIES = libcollectdclient/libcollectdclient.la
104
105
106 collectdctl_SOURCES = collectdctl.c
107 collectdctl_LDADD =
108 if BUILD_WITH_LIBSOCKET
109 collectdctl_LDADD += -lsocket
110 endif
111 if BUILD_AIX
112 collectdctl_LDADD += -lm
113 endif
114 collectdctl_LDADD += libcollectdclient/libcollectdclient.la
115 collectdctl_DEPENDENCIES = libcollectdclient/libcollectdclient.la
116
117
118 pkglib_LTLIBRARIES = 
119
120 BUILT_SOURCES = 
121 CLEANFILES = 
122
123 if BUILD_PLUGIN_AMQP
124 pkglib_LTLIBRARIES += amqp.la
125 amqp_la_SOURCES = amqp.c \
126                   utils_cmd_putval.c utils_cmd_putval.h \
127                   utils_format_json.c utils_format_json.h
128 amqp_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBRABBITMQ_LDFLAGS)
129 amqp_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBRABBITMQ_CPPFLAGS)
130 amqp_la_LIBADD = $(BUILD_WITH_LIBRABBITMQ_LIBS)
131 collectd_LDADD += "-dlopen" amqp.la
132 collectd_DEPENDENCIES += amqp.la
133 endif
134
135 if BUILD_PLUGIN_APACHE
136 pkglib_LTLIBRARIES += apache.la
137 apache_la_SOURCES = apache.c
138 apache_la_LDFLAGS = -module -avoid-version
139 apache_la_CFLAGS = $(AM_CFLAGS)
140 apache_la_LIBADD =
141 collectd_LDADD += "-dlopen" apache.la
142 if BUILD_WITH_LIBCURL
143 apache_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
144 apache_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
145 endif
146 collectd_DEPENDENCIES += apache.la
147 endif
148
149 if BUILD_PLUGIN_APCUPS
150 pkglib_LTLIBRARIES += apcups.la
151 apcups_la_SOURCES = apcups.c
152 apcups_la_LDFLAGS = -module -avoid-version
153 apcups_la_LIBADD =
154 if BUILD_WITH_LIBSOCKET
155 apcups_la_LIBADD += -lsocket
156 endif
157 collectd_LDADD += "-dlopen" apcups.la
158 collectd_DEPENDENCIES += apcups.la
159 endif
160
161 if BUILD_PLUGIN_APPLE_SENSORS
162 pkglib_LTLIBRARIES += apple_sensors.la
163 apple_sensors_la_SOURCES = apple_sensors.c
164 apple_sensors_la_LDFLAGS = -module -avoid-version
165 apple_sensors_la_LIBADD = -lIOKit
166 collectd_LDADD += "-dlopen" apple_sensors.la
167 collectd_DEPENDENCIES += apple_sensors.la
168 endif
169
170 if BUILD_PLUGIN_ASCENT
171 pkglib_LTLIBRARIES += ascent.la
172 ascent_la_SOURCES = ascent.c
173 ascent_la_LDFLAGS = -module -avoid-version
174 ascent_la_CFLAGS = $(AM_CFLAGS) \
175                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
176 ascent_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
177 collectd_LDADD += "-dlopen" ascent.la
178 collectd_DEPENDENCIES += ascent.la
179 endif
180
181 if BUILD_PLUGIN_BATTERY
182 pkglib_LTLIBRARIES += battery.la
183 battery_la_SOURCES = battery.c
184 battery_la_LDFLAGS = -module -avoid-version
185 battery_la_LIBADD =
186 if BUILD_WITH_LIBIOKIT
187 battery_la_LIBADD += -lIOKit
188 endif
189 collectd_LDADD += "-dlopen" battery.la
190 collectd_DEPENDENCIES += battery.la
191 endif
192
193 if BUILD_PLUGIN_BIND
194 pkglib_LTLIBRARIES += bind.la
195 bind_la_SOURCES = bind.c
196 bind_la_LDFLAGS = -module -avoid-version
197 bind_la_CFLAGS = $(AM_CFLAGS) \
198                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
199 bind_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
200 collectd_LDADD += "-dlopen" bind.la
201 collectd_DEPENDENCIES += bind.la
202 endif
203
204 if BUILD_PLUGIN_CONNTRACK
205 pkglib_LTLIBRARIES += conntrack.la
206 conntrack_la_SOURCES = conntrack.c
207 conntrack_la_LDFLAGS = -module -avoid-version
208 collectd_LDADD += "-dlopen" conntrack.la
209 collectd_DEPENDENCIES += conntrack.la
210 endif
211
212 if BUILD_PLUGIN_CONTEXTSWITCH
213 pkglib_LTLIBRARIES += contextswitch.la
214 contextswitch_la_SOURCES = contextswitch.c
215 contextswitch_la_LDFLAGS = -module -avoid-version
216 collectd_LDADD += "-dlopen" contextswitch.la
217 collectd_DEPENDENCIES += contextswitch.la
218 endif
219
220 if BUILD_PLUGIN_CPU
221 pkglib_LTLIBRARIES += cpu.la
222 cpu_la_SOURCES = cpu.c
223 cpu_la_CFLAGS = $(AM_CFLAGS)
224 cpu_la_LDFLAGS = -module -avoid-version
225 cpu_la_LIBADD = 
226 if BUILD_WITH_LIBKSTAT
227 cpu_la_LIBADD += -lkstat
228 endif
229 if BUILD_WITH_LIBDEVINFO
230 cpu_la_LIBADD += -ldevinfo
231 endif
232 if BUILD_WITH_LIBSTATGRAB
233 cpu_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
234 cpu_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
235 endif
236 if BUILD_WITH_PERFSTAT
237 cpu_la_LIBADD += -lperfstat
238 endif
239 collectd_LDADD += "-dlopen" cpu.la
240 collectd_DEPENDENCIES += cpu.la
241 endif
242
243 if BUILD_PLUGIN_CPUFREQ
244 pkglib_LTLIBRARIES += cpufreq.la
245 cpufreq_la_SOURCES = cpufreq.c
246 cpufreq_la_LDFLAGS = -module -avoid-version
247 collectd_LDADD += "-dlopen" cpufreq.la
248 collectd_DEPENDENCIES += cpufreq.la
249 endif
250
251 if BUILD_PLUGIN_CSV
252 pkglib_LTLIBRARIES += csv.la
253 csv_la_SOURCES = csv.c
254 csv_la_LDFLAGS = -module -avoid-version
255 collectd_LDADD += "-dlopen" csv.la
256 collectd_DEPENDENCIES += csv.la
257 endif
258
259 if BUILD_PLUGIN_CURL
260 pkglib_LTLIBRARIES += curl.la
261 curl_la_SOURCES = curl.c
262 curl_la_LDFLAGS = -module -avoid-version
263 curl_la_CFLAGS = $(AM_CFLAGS)
264 curl_la_LIBADD =
265 collectd_LDADD += "-dlopen" curl.la
266 if BUILD_WITH_LIBCURL
267 curl_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
268 curl_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
269 endif
270 collectd_DEPENDENCIES += curl.la
271 endif
272
273 if BUILD_PLUGIN_CURL_JSON
274 pkglib_LTLIBRARIES += curl_json.la
275 curl_json_la_SOURCES = curl_json.c
276 curl_json_la_CFLAGS = $(AM_CFLAGS)
277 curl_json_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBYAJL_LDFLAGS)
278 curl_json_la_CPPFLAGS = $(BUILD_WITH_LIBYAJL_CPPFLAGS)
279 curl_json_la_LIBADD = $(BUILD_WITH_LIBYAJL_LIBS)
280 if BUILD_WITH_LIBCURL
281 curl_json_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
282 curl_json_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
283 endif
284 collectd_LDADD += "-dlopen" curl_json.la
285 collectd_DEPENDENCIES += curl_json.la
286 endif
287
288 if BUILD_PLUGIN_CURL_XML
289 pkglib_LTLIBRARIES += curl_xml.la
290 curl_xml_la_SOURCES = curl_xml.c
291 curl_xml_la_LDFLAGS = -module -avoid-version
292 curl_xml_la_CFLAGS = $(AM_CFLAGS) \
293                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
294 curl_xml_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
295 collectd_LDADD += "-dlopen" curl_xml.la
296 collectd_DEPENDENCIES += curl_xml.la
297 endif
298
299 if BUILD_PLUGIN_DBI
300 pkglib_LTLIBRARIES += dbi.la
301 dbi_la_SOURCES = dbi.c \
302                  utils_db_query.c utils_db_query.h
303 dbi_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBDBI_CPPFLAGS)
304 dbi_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBDBI_LDFLAGS)
305 dbi_la_LIBADD = $(BUILD_WITH_LIBDBI_LIBS)
306 collectd_LDADD += "-dlopen" dbi.la
307 collectd_DEPENDENCIES += dbi.la
308 endif
309
310 if BUILD_PLUGIN_DF
311 pkglib_LTLIBRARIES += df.la
312 df_la_SOURCES = df.c utils_mount.c utils_mount.h
313 df_la_LDFLAGS = -module -avoid-version
314 collectd_LDADD += "-dlopen" df.la
315 collectd_DEPENDENCIES += df.la
316 endif
317
318 if BUILD_PLUGIN_DISK
319 pkglib_LTLIBRARIES += disk.la
320 disk_la_SOURCES = disk.c
321 disk_la_CFLAGS = $(AM_CFLAGS)
322 disk_la_LDFLAGS = -module -avoid-version
323 disk_la_LIBADD = 
324 if BUILD_WITH_LIBKSTAT
325 disk_la_LIBADD += -lkstat
326 endif
327 if BUILD_WITH_LIBDEVINFO
328 disk_la_LIBADD += -ldevinfo
329 endif
330 if BUILD_WITH_LIBIOKIT
331 disk_la_LIBADD += -lIOKit
332 endif
333 if BUILD_WITH_LIBSTATGRAB
334 disk_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)  
335 disk_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
336 endif
337 if BUILD_WITH_PERFSTAT
338 disk_la_LIBADD += -lperfstat
339 endif
340 collectd_LDADD += "-dlopen" disk.la
341 collectd_DEPENDENCIES += disk.la
342 endif
343
344 if BUILD_PLUGIN_DNS
345 pkglib_LTLIBRARIES += dns.la
346 dns_la_SOURCES = dns.c utils_dns.c utils_dns.h
347 dns_la_LDFLAGS = -module -avoid-version
348 dns_la_LIBADD = -lpcap -lpthread
349 collectd_LDADD += "-dlopen" dns.la
350 collectd_DEPENDENCIES += dns.la
351 endif
352
353 if BUILD_PLUGIN_EMAIL
354 pkglib_LTLIBRARIES += email.la
355 email_la_SOURCES = email.c
356 email_la_LDFLAGS = -module -avoid-version
357 email_la_LIBADD = -lpthread
358 collectd_LDADD += "-dlopen" email.la
359 collectd_DEPENDENCIES += email.la
360 endif
361
362 if BUILD_PLUGIN_ENTROPY
363 pkglib_LTLIBRARIES += entropy.la
364 entropy_la_SOURCES = entropy.c
365 entropy_la_LDFLAGS = -module -avoid-version
366 collectd_LDADD += "-dlopen" entropy.la
367 collectd_DEPENDENCIES += entropy.la
368 endif
369
370 if BUILD_PLUGIN_EXEC
371 pkglib_LTLIBRARIES += exec.la
372 exec_la_SOURCES = exec.c \
373                   utils_cmd_putnotif.c utils_cmd_putnotif.h \
374                   utils_cmd_putval.c utils_cmd_putval.h
375 exec_la_LDFLAGS = -module -avoid-version
376 exec_la_LIBADD = -lpthread
377 collectd_LDADD += "-dlopen" exec.la
378 collectd_DEPENDENCIES += exec.la
379 endif
380
381 if BUILD_PLUGIN_FILECOUNT
382 pkglib_LTLIBRARIES += filecount.la
383 filecount_la_SOURCES = filecount.c
384 filecount_la_LDFLAGS = -module -avoid-version
385 collectd_LDADD += "-dlopen" filecount.la
386 collectd_DEPENDENCIES += filecount.la
387 endif
388
389 if BUILD_PLUGIN_GMOND
390 pkglib_LTLIBRARIES += gmond.la
391 gmond_la_SOURCES = gmond.c
392 gmond_la_CPPFLAGS = $(AM_CPPFLAGS) $(GANGLIA_CPPFLAGS)
393 gmond_la_LDFLAGS = -module -avoid-version $(GANGLIA_LDFLAGS)
394 gmond_la_LIBADD = $(GANGLIA_LIBS)
395 collectd_LDADD += "-dlopen" gmond.la
396 collectd_DEPENDENCIES += gmond.la
397 endif
398
399 if BUILD_PLUGIN_HDDTEMP
400 pkglib_LTLIBRARIES += hddtemp.la
401 hddtemp_la_SOURCES = hddtemp.c
402 hddtemp_la_LDFLAGS = -module -avoid-version
403 hddtemp_la_LIBADD =
404 if BUILD_WITH_LIBSOCKET
405 hddtemp_la_LIBADD += -lsocket
406 endif
407 collectd_LDADD += "-dlopen" hddtemp.la
408 collectd_DEPENDENCIES += hddtemp.la
409 endif
410
411 if BUILD_PLUGIN_INTERFACE
412 pkglib_LTLIBRARIES += interface.la
413 interface_la_SOURCES = interface.c
414 interface_la_CFLAGS = $(AM_CFLAGS)
415 interface_la_LDFLAGS = -module -avoid-version
416 interface_la_LIBADD =
417 collectd_LDADD += "-dlopen" interface.la
418 collectd_DEPENDENCIES += interface.la
419 if BUILD_WITH_LIBSTATGRAB
420 interface_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
421 interface_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
422 else
423 if BUILD_WITH_LIBKSTAT
424 interface_la_LIBADD += -lkstat
425 endif
426 if BUILD_WITH_LIBDEVINFO
427 interface_la_LIBADD += -ldevinfo
428 endif # BUILD_WITH_LIBDEVINFO
429 endif # !BUILD_WITH_LIBSTATGRAB
430 if BUILD_WITH_PERFSTAT
431 interface_la_LIBADD += -lperfstat
432 endif
433 endif # BUILD_PLUGIN_INTERFACE
434
435 if BUILD_PLUGIN_IPTABLES
436 pkglib_LTLIBRARIES += iptables.la
437 iptables_la_SOURCES = iptables.c
438 iptables_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBIPTC_CPPFLAGS)
439 iptables_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBIPTC_LDFLAGS)
440 iptables_la_LIBADD = -liptc
441 collectd_LDADD += "-dlopen" iptables.la
442 collectd_DEPENDENCIES += iptables.la
443 endif
444
445 if BUILD_PLUGIN_IPMI
446 pkglib_LTLIBRARIES += ipmi.la
447 ipmi_la_SOURCES = ipmi.c
448 ipmi_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_OPENIPMI_CFLAGS)
449 ipmi_la_LDFLAGS = -module -avoid-version
450 ipmi_la_LIBADD = $(BUILD_WITH_OPENIPMI_LIBS)
451 collectd_LDADD += "-dlopen" ipmi.la
452 collectd_DEPENDENCIES += ipmi.la
453 endif
454
455 if BUILD_PLUGIN_IPVS
456 pkglib_LTLIBRARIES += ipvs.la
457 ipvs_la_SOURCES = ipvs.c
458 ipvs_la_CFLAGS = $(AM_CFLAGS) $(KERNEL_CFLAGS)
459 ipvs_la_LDFLAGS = -module -avoid-version
460 collectd_LDADD += "-dlopen" ipvs.la
461 collectd_DEPENDENCIES += ipvs.la
462 endif
463
464 if BUILD_PLUGIN_IRQ
465 pkglib_LTLIBRARIES += irq.la
466 irq_la_SOURCES = irq.c
467 irq_la_LDFLAGS = -module -avoid-version
468 collectd_LDADD += "-dlopen" irq.la
469 collectd_DEPENDENCIES += irq.la
470 endif
471
472 if BUILD_PLUGIN_JAVA
473 pkglib_LTLIBRARIES += java.la
474 java_la_SOURCES = java.c
475 java_la_CPPFLAGS = $(AM_CPPFLAGS) $(JAVA_CPPFLAGS)
476 java_la_CFLAGS = $(AM_CFLAGS) $(JAVA_CFLAGS)
477 java_la_LDFLAGS = -module -avoid-version $(JAVA_LDFLAGS)
478 java_la_LIBADD = $(JAVA_LIBS)
479 collectd_LDADD += "-dlopen" java.la
480 collectd_DEPENDENCIES += java.la
481 endif
482
483 if BUILD_PLUGIN_LIBVIRT
484 pkglib_LTLIBRARIES += libvirt.la
485 libvirt_la_SOURCES = libvirt.c
486 libvirt_la_CFLAGS = $(AM_CFLAGS) \
487                 $(BUILD_WITH_LIBVIRT_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
488 libvirt_la_LIBADD = $(BUILD_WITH_LIBVIRT_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
489 libvirt_la_LDFLAGS = -module -avoid-version
490 collectd_LDADD += "-dlopen" libvirt.la
491 collectd_DEPENDENCIES += libvirt.la
492 endif
493
494 if BUILD_PLUGIN_LOAD
495 pkglib_LTLIBRARIES += load.la
496 load_la_SOURCES = load.c
497 load_la_CFLAGS = $(AM_CFLAGS)
498 load_la_LDFLAGS = -module -avoid-version
499 load_la_LIBADD =
500 collectd_LDADD += "-dlopen" load.la
501 collectd_DEPENDENCIES += load.la
502 if BUILD_WITH_LIBSTATGRAB
503 load_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
504 load_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
505 endif # BUILD_WITH_LIBSTATGRAB
506 if BUILD_WITH_PERFSTAT
507 load_la_LIBADD += -lperfstat
508 endif
509 endif # BUILD_PLUGIN_LOAD
510
511 if BUILD_PLUGIN_LOGFILE
512 pkglib_LTLIBRARIES += logfile.la
513 logfile_la_SOURCES = logfile.c
514 logfile_la_LDFLAGS = -module -avoid-version
515 collectd_LDADD += "-dlopen" logfile.la
516 collectd_DEPENDENCIES += logfile.la
517 endif
518
519 if BUILD_PLUGIN_LPAR
520 pkglib_LTLIBRARIES += lpar.la
521 lpar_la_SOURCES = lpar.c
522 lpar_la_LDFLAGS = -module -avoid-version
523 collectd_LDADD += "-dlopen" lpar.la
524 collectd_DEPENDENCIES += lpar.la
525 lpar_la_LIBADD = -lperfstat
526 endif
527
528 if BUILD_PLUGIN_MADWIFI
529 pkglib_LTLIBRARIES += madwifi.la
530 madwifi_la_SOURCES = madwifi.c madwifi.h
531 madwifi_la_LDFLAGS = -module -avoid-version
532 collectd_LDADD += "-dlopen" madwifi.la
533 collectd_DEPENDENCIES += madwifi.la
534 endif
535
536 if BUILD_PLUGIN_MATCH_EMPTY_COUNTER
537 pkglib_LTLIBRARIES += match_empty_counter.la
538 match_empty_counter_la_SOURCES = match_empty_counter.c
539 match_empty_counter_la_LDFLAGS = -module -avoid-version
540 collectd_LDADD += "-dlopen" match_empty_counter.la
541 collectd_DEPENDENCIES += match_empty_counter.la
542 endif
543
544 if BUILD_PLUGIN_MATCH_HASHED
545 pkglib_LTLIBRARIES += match_hashed.la
546 match_hashed_la_SOURCES = match_hashed.c
547 match_hashed_la_LDFLAGS = -module -avoid-version
548 collectd_LDADD += "-dlopen" match_hashed.la
549 collectd_DEPENDENCIES += match_hashed.la
550 endif
551
552 if BUILD_PLUGIN_MATCH_REGEX
553 pkglib_LTLIBRARIES += match_regex.la
554 match_regex_la_SOURCES = match_regex.c
555 match_regex_la_LDFLAGS = -module -avoid-version
556 collectd_LDADD += "-dlopen" match_regex.la
557 collectd_DEPENDENCIES += match_regex.la
558 endif
559
560 if BUILD_PLUGIN_MATCH_TIMEDIFF
561 pkglib_LTLIBRARIES += match_timediff.la
562 match_timediff_la_SOURCES = match_timediff.c
563 match_timediff_la_LDFLAGS = -module -avoid-version
564 collectd_LDADD += "-dlopen" match_timediff.la
565 collectd_DEPENDENCIES += match_timediff.la
566 endif
567
568 if BUILD_PLUGIN_MATCH_VALUE
569 pkglib_LTLIBRARIES += match_value.la
570 match_value_la_SOURCES = match_value.c
571 match_value_la_LDFLAGS = -module -avoid-version
572 collectd_LDADD += "-dlopen" match_value.la
573 collectd_DEPENDENCIES += match_value.la
574 endif
575
576 if BUILD_PLUGIN_MBMON
577 pkglib_LTLIBRARIES += mbmon.la
578 mbmon_la_SOURCES = mbmon.c
579 mbmon_la_LDFLAGS = -module -avoid-version
580 mbmon_la_LIBADD =
581 if BUILD_WITH_LIBSOCKET
582 mbmon_la_LIBADD += -lsocket
583 endif
584 collectd_LDADD += "-dlopen" mbmon.la
585 collectd_DEPENDENCIES += mbmon.la
586 endif
587
588 if BUILD_PLUGIN_MEMCACHEC
589 pkglib_LTLIBRARIES += memcachec.la
590 memcachec_la_SOURCES = memcachec.c
591 memcachec_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBMEMCACHED_LDFLAGS)
592 memcachec_la_CPPFLAGS = $(BUILD_WITH_LIBMEMCACHED_CPPFLAGS)
593 memcachec_la_LIBADD = $(BUILD_WITH_LIBMEMCACHED_LIBS)
594 collectd_LDADD += "-dlopen" memcachec.la
595 collectd_DEPENDENCIES += memcachec.la
596 endif
597
598 if BUILD_PLUGIN_MEMCACHED
599 pkglib_LTLIBRARIES += memcached.la
600 memcached_la_SOURCES = memcached.c
601 memcached_la_LDFLAGS = -module -avoid-version
602 memcached_la_LIBADD =
603 if BUILD_WITH_LIBSOCKET
604 memcached_la_LIBADD += -lsocket
605 endif
606 collectd_LDADD += "-dlopen" memcached.la
607 collectd_DEPENDENCIES += memcached.la
608 endif
609
610 if BUILD_PLUGIN_MEMORY
611 pkglib_LTLIBRARIES += memory.la
612 memory_la_SOURCES = memory.c
613 memory_la_CFLAGS = $(AM_CFLAGS)
614 memory_la_LDFLAGS = -module -avoid-version
615 memory_la_LIBADD =
616 collectd_LDADD += "-dlopen" memory.la
617 collectd_DEPENDENCIES += memory.la
618 if BUILD_WITH_LIBKSTAT
619 memory_la_LIBADD += -lkstat
620 endif
621 if BUILD_WITH_LIBDEVINFO
622 memory_la_LIBADD += -ldevinfo
623 endif
624 if BUILD_WITH_LIBSTATGRAB
625 memory_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
626 memory_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
627 endif
628 if BUILD_WITH_PERFSTAT
629 memory_la_LIBADD += -lperfstat
630 endif
631 endif
632
633 if BUILD_PLUGIN_MODBUS
634 pkglib_LTLIBRARIES += modbus.la
635 modbus_la_SOURCES = modbus.c
636 modbus_la_LDFLAGS = -module -avoid-version
637 modbus_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBMODBUS_CFLAGS)
638 modbus_la_LIBADD = $(BUILD_WITH_LIBMODBUS_LIBS)
639 collectd_LDADD += "-dlopen" modbus.la
640 collectd_DEPENDENCIES += modbus.la
641 endif
642
643 if BUILD_PLUGIN_MULTIMETER
644 pkglib_LTLIBRARIES += multimeter.la
645 multimeter_la_SOURCES = multimeter.c
646 multimeter_la_LDFLAGS = -module -avoid-version
647 collectd_LDADD += "-dlopen" multimeter.la
648 collectd_DEPENDENCIES += multimeter.la
649 endif
650
651 if BUILD_PLUGIN_MYSQL
652 pkglib_LTLIBRARIES += mysql.la
653 mysql_la_SOURCES = mysql.c
654 mysql_la_LDFLAGS = -module -avoid-version
655 mysql_la_CFLAGS = $(AM_CFLAGS)
656 mysql_la_LIBADD =
657 collectd_LDADD += "-dlopen" mysql.la
658 if BUILD_WITH_LIBMYSQL
659 mysql_la_CFLAGS += $(BUILD_WITH_LIBMYSQL_CFLAGS)
660 mysql_la_LIBADD += $(BUILD_WITH_LIBMYSQL_LIBS)
661 endif
662 collectd_DEPENDENCIES += mysql.la
663 endif
664
665 if BUILD_PLUGIN_NETAPP
666 pkglib_LTLIBRARIES += netapp.la
667 netapp_la_SOURCES = netapp.c
668 netapp_la_CPPFLAGS = $(AM_CPPFLAGS) $(LIBNETAPP_CPPFLAGS)
669 netapp_la_LDFLAGS = -module -avoid-version $(LIBNETAPP_LDFLAGS)
670 netapp_la_LIBADD = $(LIBNETAPP_LIBS)
671 collectd_LDADD += "-dlopen" netapp.la
672 collectd_DEPENDENCIES += netapp.la
673 endif
674
675 if BUILD_PLUGIN_NETLINK
676 pkglib_LTLIBRARIES += netlink.la
677 netlink_la_SOURCES = netlink.c
678 netlink_la_LDFLAGS = -module -avoid-version
679 netlink_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBNETLINK_CFLAGS)
680 netlink_la_LIBADD = $(BUILD_WITH_LIBNETLINK_LIBS)
681 collectd_LDADD += "-dlopen" netlink.la
682 collectd_DEPENDENCIES += netlink.la
683 endif
684
685 if BUILD_PLUGIN_NETWORK
686 pkglib_LTLIBRARIES += network.la
687 network_la_SOURCES = network.c network.h \
688                      utils_fbhash.c utils_fbhash.h
689 network_la_CPPFLAGS = $(AM_CPPFLAGS)
690 network_la_LDFLAGS = -module -avoid-version
691 network_la_LIBADD = -lpthread
692 if BUILD_WITH_LIBSOCKET
693 network_la_LIBADD += -lsocket
694 endif
695 if BUILD_WITH_LIBGCRYPT
696 network_la_CPPFLAGS += $(GCRYPT_CPPFLAGS)
697 network_la_LDFLAGS += $(GCRYPT_LDFLAGS)
698 network_la_LIBADD += $(GCRYPT_LIBS)
699 endif
700 collectd_LDADD += "-dlopen" network.la
701 collectd_DEPENDENCIES += network.la
702 endif
703
704 if BUILD_PLUGIN_NFS
705 pkglib_LTLIBRARIES += nfs.la
706 nfs_la_SOURCES = nfs.c
707 nfs_la_LDFLAGS = -module -avoid-version
708 collectd_LDADD += "-dlopen" nfs.la
709 collectd_DEPENDENCIES += nfs.la
710 endif
711
712 if BUILD_PLUGIN_FSCACHE
713 pkglib_LTLIBRARIES += fscache.la
714 fscache_la_SOURCES = fscache.c
715 fscache_la_LDFLAGS = -module -avoid-version
716 collectd_LDADD += "-dlopen" fscache.la
717 collectd_DEPENDENCIES += fscache.la
718 endif
719
720 if BUILD_PLUGIN_NGINX
721 pkglib_LTLIBRARIES += nginx.la
722 nginx_la_SOURCES = nginx.c
723 nginx_la_CFLAGS = $(AM_CFLAGS)
724 nginx_la_LIBADD =
725 nginx_la_LDFLAGS = -module -avoid-version
726 if BUILD_WITH_LIBCURL
727 nginx_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
728 nginx_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
729 endif
730 collectd_LDADD += "-dlopen" nginx.la
731 collectd_DEPENDENCIES += nginx.la
732 endif
733
734 if BUILD_PLUGIN_NOTIFY_DESKTOP
735 pkglib_LTLIBRARIES += notify_desktop.la
736 notify_desktop_la_SOURCES = notify_desktop.c
737 notify_desktop_la_CFLAGS = $(AM_CFLAGS) $(LIBNOTIFY_CFLAGS)
738 notify_desktop_la_LDFLAGS = -module -avoid-version
739 notify_desktop_la_LIBADD = $(LIBNOTIFY_LIBS)
740 collectd_LDADD += "-dlopen" notify_desktop.la
741 collectd_DEPENDENCIES += notify_desktop.la
742 endif
743
744 if BUILD_PLUGIN_NOTIFY_EMAIL
745 pkglib_LTLIBRARIES += notify_email.la
746 notify_email_la_SOURCES = notify_email.c
747 notify_email_la_LDFLAGS = -module -avoid-version
748 notify_email_la_LIBADD = -lesmtp -lssl -lcrypto -lpthread -ldl
749 collectd_LDADD += "-dlopen" notify_email.la
750 collectd_DEPENDENCIES += notify_email.la
751 endif
752
753 if BUILD_PLUGIN_NTPD
754 pkglib_LTLIBRARIES += ntpd.la
755 ntpd_la_SOURCES = ntpd.c
756 ntpd_la_LDFLAGS = -module -avoid-version
757 ntpd_la_LIBADD =
758 if BUILD_WITH_LIBSOCKET
759 ntpd_la_LIBADD += -lsocket
760 endif
761 collectd_LDADD += "-dlopen" ntpd.la
762 collectd_DEPENDENCIES += ntpd.la
763 endif
764
765 if BUILD_PLUGIN_NUT
766 pkglib_LTLIBRARIES += nut.la
767 nut_la_SOURCES = nut.c
768 nut_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBUPSCLIENT_CFLAGS)
769 nut_la_LDFLAGS = -module -avoid-version
770 nut_la_LIBADD = -lpthread $(BUILD_WITH_LIBUPSCLIENT_LIBS)
771 collectd_LDADD += "-dlopen" nut.la
772 collectd_DEPENDENCIES += nut.la
773 endif
774
775 if BUILD_PLUGIN_OLSRD
776 pkglib_LTLIBRARIES += olsrd.la
777 olsrd_la_SOURCES = olsrd.c
778 olsrd_la_LDFLAGS = -module -avoid-version
779 olsrd_la_LIBADD = 
780 if BUILD_WITH_LIBSOCKET
781 olsrd_la_LIBADD += -lsocket
782 endif
783 collectd_LDADD += "-dlopen" olsrd.la
784 collectd_DEPENDENCIES += olsrd.la
785 endif
786
787 if BUILD_PLUGIN_ONEWIRE
788 pkglib_LTLIBRARIES += onewire.la
789 onewire_la_SOURCES = onewire.c
790 onewire_la_CFLAGS = $(AM_CFLAGS)
791 onewire_la_CPPFLAGS = $(BUILD_WITH_LIBOWCAPI_CPPFLAGS)
792 onewire_la_LIBADD = $(BUILD_WITH_LIBOWCAPI_LIBS)
793 onewire_la_LDFLAGS = -module -avoid-version
794 collectd_LDADD += "-dlopen" onewire.la
795 collectd_DEPENDENCIES += onewire.la
796 endif
797
798 if BUILD_PLUGIN_OPENVPN
799 pkglib_LTLIBRARIES += openvpn.la
800 openvpn_la_SOURCES = openvpn.c
801 openvpn_la_CFLAGS = $(AM_CFLAGS)
802 openvpn_la_LDFLAGS = -module -avoid-version
803 collectd_LDADD += "-dlopen" openvpn.la
804 collectd_DEPENDENCIES += openvpn.la
805 endif
806
807 if BUILD_PLUGIN_ORACLE
808 pkglib_LTLIBRARIES += oracle.la
809 oracle_la_SOURCES = oracle.c \
810         utils_db_query.c utils_db_query.h
811 oracle_la_CFLAGS = $(AM_CFLAGS)
812 oracle_la_CPPFLAGS = $(BUILD_WITH_ORACLE_CFLAGS)
813 oracle_la_LIBADD = $(BUILD_WITH_ORACLE_LIBS)
814 oracle_la_LDFLAGS = -module -avoid-version
815 collectd_LDADD += "-dlopen" oracle.la
816 collectd_DEPENDENCIES += oracle.la
817 endif
818
819 if BUILD_PLUGIN_PERL
820 pkglib_LTLIBRARIES += perl.la
821 perl_la_SOURCES = perl.c
822 # Despite C99 providing the "bool" type thru stdbool.h, Perl defines its own
823 # version of that type if HAS_BOOL is not defined... *sigh*
824 perl_la_CPPFLAGS = $(AM_CPPFLAGS) -DHAS_BOOL=1
825 perl_la_CFLAGS  = $(AM_CFLAGS) \
826                 $(PERL_CFLAGS) \
827                 -DXS_VERSION=\"$(VERSION)\" -DVERSION=\"$(VERSION)\"
828 # Work-around for issues #41 and #42 - Perl 5.10 incorrectly introduced
829 # __attribute__nonnull__(3) for Perl_load_module().
830 if HAVE_BROKEN_PERL_LOAD_MODULE
831 perl_la_CFLAGS += -Wno-nonnull
832 endif
833 perl_la_LDFLAGS = -module -avoid-version \
834                 $(PERL_LDFLAGS)
835 collectd_LDADD += "-dlopen" perl.la
836 collectd_DEPENDENCIES += perl.la
837 endif
838
839 if BUILD_PLUGIN_PINBA
840 BUILT_SOURCES += pinba.pb-c.c pinba.pb-c.h
841 CLEANFILES += pinba.pb-c.c pinba.pb-c.h
842 pkglib_LTLIBRARIES += pinba.la
843 pinba_la_SOURCES = pinba.c
844 pinba_la_LDFLAGS = -module -avoid-version
845 pinba_la_LIBADD = -lprotobuf-c
846 collectd_LDADD += "-dlopen" pinba.la
847 collectd_DEPENDENCIES += pinba.la
848 endif
849
850 if BUILD_PLUGIN_PING
851 pkglib_LTLIBRARIES += ping.la
852 ping_la_SOURCES = ping.c
853 ping_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBOPING_CPPFLAGS)
854 ping_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBOPING_LDFLAGS)
855 ping_la_LIBADD = -loping -lm
856 collectd_LDADD += "-dlopen" ping.la
857 collectd_DEPENDENCIES += ping.la
858 endif
859
860 if BUILD_PLUGIN_POSTGRESQL
861 pkglib_LTLIBRARIES += postgresql.la
862 postgresql_la_SOURCES = postgresql.c \
863                  utils_db_query.c utils_db_query.h
864 postgresql_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBPQ_CPPFLAGS)
865 postgresql_la_LDFLAGS = -module -avoid-version \
866                 $(BUILD_WITH_LIBPQ_LDFLAGS)
867 postgresql_la_LIBADD = -lpq
868 collectd_LDADD += "-dlopen" postgresql.la
869 collectd_DEPENDENCIES += postgresql.la
870 endif
871
872 if BUILD_PLUGIN_POWERDNS
873 pkglib_LTLIBRARIES += powerdns.la
874 powerdns_la_SOURCES = powerdns.c
875 powerdns_la_LDFLAGS = -module -avoid-version
876 collectd_LDADD += "-dlopen" powerdns.la
877 collectd_DEPENDENCIES += powerdns.la
878 endif
879
880 if BUILD_PLUGIN_PYTHON
881 pkglib_LTLIBRARIES += python.la
882 python_la_SOURCES = python.c pyconfig.c pyvalues.c cpython.h
883 python_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_PYTHON_CPPFLAGS)
884 python_la_CFLAGS = $(AM_CFLAGS)
885 if COMPILER_IS_GCC
886 python_la_CFLAGS += -fno-strict-aliasing -Wno-strict-aliasing
887 endif
888 python_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_PYTHON_LDFLAGS)
889 python_la_LIBADD = $(BUILD_WITH_PYTHON_LIBS)
890 collectd_LDADD += "-dlopen" python.la
891 collectd_DEPENDENCIES += python.la
892 endif
893
894 if BUILD_PLUGIN_PROCESSES
895 pkglib_LTLIBRARIES += processes.la
896 processes_la_SOURCES = processes.c
897 processes_la_LDFLAGS = -module -avoid-version
898 processes_la_LIBADD =
899 collectd_LDADD += "-dlopen" processes.la
900 collectd_DEPENDENCIES += processes.la
901 if BUILD_WITH_LIBKVM_GETPROCS
902 processes_la_LIBADD += -lkvm
903 endif
904 endif
905
906 if BUILD_PLUGIN_PROTOCOLS
907 pkglib_LTLIBRARIES += protocols.la
908 protocols_la_SOURCES = protocols.c
909 protocols_la_LDFLAGS = -module -avoid-version
910 collectd_LDADD += "-dlopen" protocols.la
911 collectd_DEPENDENCIES += protocols.la
912 endif
913
914 if BUILD_PLUGIN_REDIS
915 pkglib_LTLIBRARIES += redis.la
916 redis_la_SOURCES = redis.c
917 redis_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBCREDIS_LDFLAGS)
918 redis_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBCREDIS_CPPFLAGS)
919 redis_la_LIBADD = -lcredis
920 collectd_LDADD += "-dlopen" redis.la
921 collectd_DEPENDENCIES += redis.la
922 endif
923
924 if BUILD_PLUGIN_ROUTEROS
925 pkglib_LTLIBRARIES += routeros.la
926 routeros_la_SOURCES = routeros.c
927 routeros_la_CPPFLAGS = $(BUILD_WITH_LIBROUTEROS_CPPFLAGS)
928 routeros_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBROUTEROS_LDFLAGS)
929 routeros_la_LIBADD = -lrouteros
930 collectd_LDADD += "-dlopen" routeros.la
931 collectd_DEPENDENCIES += routeros.la
932 endif
933
934 if BUILD_PLUGIN_RRDCACHED
935 pkglib_LTLIBRARIES += rrdcached.la
936 rrdcached_la_SOURCES = rrdcached.c utils_rrdcreate.c utils_rrdcreate.h
937 rrdcached_la_LDFLAGS = -module -avoid-version
938 rrdcached_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBRRD_CFLAGS)
939 rrdcached_la_LIBADD = $(BUILD_WITH_LIBRRD_LDFLAGS)
940 collectd_LDADD += "-dlopen" rrdcached.la
941 collectd_DEPENDENCIES += rrdcached.la
942 endif
943
944 if BUILD_PLUGIN_RRDTOOL
945 pkglib_LTLIBRARIES += rrdtool.la
946 rrdtool_la_SOURCES = rrdtool.c utils_rrdcreate.c utils_rrdcreate.h
947 rrdtool_la_LDFLAGS = -module -avoid-version
948 rrdtool_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBRRD_CFLAGS)
949 rrdtool_la_LIBADD = $(BUILD_WITH_LIBRRD_LDFLAGS)
950 collectd_LDADD += "-dlopen" rrdtool.la
951 collectd_DEPENDENCIES += rrdtool.la
952 endif
953
954 if BUILD_PLUGIN_SENSORS
955 pkglib_LTLIBRARIES += sensors.la
956 sensors_la_SOURCES = sensors.c
957 sensors_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBSENSORS_CFLAGS)
958 sensors_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBSENSORS_LDFLAGS)
959 sensors_la_LIBADD = -lsensors
960 collectd_LDADD += "-dlopen" sensors.la
961 collectd_DEPENDENCIES += sensors.la
962 endif
963
964 if BUILD_PLUGIN_SERIAL
965 pkglib_LTLIBRARIES += serial.la
966 serial_la_SOURCES = serial.c
967 serial_la_LDFLAGS = -module -avoid-version
968 collectd_LDADD += "-dlopen" serial.la
969 collectd_DEPENDENCIES += serial.la
970 endif
971
972 if BUILD_PLUGIN_SNMP
973 pkglib_LTLIBRARIES += snmp.la
974 snmp_la_SOURCES = snmp.c
975 snmp_la_LDFLAGS = -module -avoid-version
976 snmp_la_CFLAGS = $(AM_CFLAGS)
977 snmp_la_LIBADD =
978 if BUILD_WITH_LIBNETSNMP
979 snmp_la_CFLAGS += $(BUILD_WITH_LIBSNMP_CFLAGS)
980 snmp_la_LIBADD += $(BUILD_WITH_LIBSNMP_LIBS)
981 endif
982 if BUILD_WITH_LIBPTHREAD
983 snmp_la_LIBADD += -lpthread
984 endif
985 collectd_LDADD += "-dlopen" snmp.la
986 collectd_DEPENDENCIES += snmp.la
987 endif
988
989 if BUILD_PLUGIN_SWAP
990 pkglib_LTLIBRARIES += swap.la
991 swap_la_SOURCES = swap.c
992 swap_la_CFLAGS = $(AM_CFLAGS)
993 swap_la_LDFLAGS = -module -avoid-version
994 swap_la_LIBADD =
995 collectd_LDADD += "-dlopen" swap.la
996 collectd_DEPENDENCIES += swap.la
997 if BUILD_WITH_LIBKSTAT
998 swap_la_LIBADD += -lkstat
999 endif
1000 if BUILD_WITH_LIBDEVINFO
1001 swap_la_LIBADD += -ldevinfo
1002 endif
1003 if BUILD_WITH_LIBKVM_GETSWAPINFO
1004 swap_la_LIBADD += -lkvm
1005 endif
1006 if BUILD_WITH_LIBSTATGRAB
1007 swap_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
1008 swap_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
1009 endif
1010 if BUILD_WITH_PERFSTAT
1011 swap_la_LIBADD += -lperfstat
1012 endif
1013
1014 endif
1015
1016 if BUILD_PLUGIN_SYSLOG
1017 pkglib_LTLIBRARIES += syslog.la
1018 syslog_la_SOURCES = syslog.c
1019 syslog_la_LDFLAGS = -module -avoid-version
1020 collectd_LDADD += "-dlopen" syslog.la
1021 collectd_DEPENDENCIES += syslog.la
1022 endif
1023
1024 if BUILD_PLUGIN_TABLE
1025 pkglib_LTLIBRARIES += table.la
1026 table_la_SOURCES = table.c
1027 table_la_LDFLAGS = -module -avoid-version
1028 collectd_LDADD += "-dlopen" table.la
1029 collectd_DEPENDENCIES += table.la
1030 endif
1031
1032 if BUILD_PLUGIN_TAIL
1033 pkglib_LTLIBRARIES += tail.la
1034 tail_la_SOURCES = tail.c
1035 tail_la_LDFLAGS = -module -avoid-version
1036 collectd_LDADD += "-dlopen" tail.la
1037 collectd_DEPENDENCIES += tail.la
1038 endif
1039
1040 if BUILD_PLUGIN_TAPE
1041 pkglib_LTLIBRARIES += tape.la
1042 tape_la_SOURCES = tape.c
1043 tape_la_LDFLAGS = -module -avoid-version
1044 tape_la_LIBADD = -lkstat -ldevinfo
1045 collectd_LDADD += "-dlopen" tape.la
1046 collectd_DEPENDENCIES += tape.la
1047 endif
1048
1049 if BUILD_PLUGIN_TARGET_NOTIFICATION
1050 pkglib_LTLIBRARIES += target_notification.la
1051 target_notification_la_SOURCES = target_notification.c
1052 target_notification_la_LDFLAGS = -module -avoid-version
1053 collectd_LDADD += "-dlopen" target_notification.la
1054 collectd_DEPENDENCIES += target_notification.la
1055 endif
1056
1057 if BUILD_PLUGIN_TARGET_REPLACE
1058 pkglib_LTLIBRARIES += target_replace.la
1059 target_replace_la_SOURCES = target_replace.c
1060 target_replace_la_LDFLAGS = -module -avoid-version
1061 collectd_LDADD += "-dlopen" target_replace.la
1062 collectd_DEPENDENCIES += target_replace.la
1063 endif
1064
1065 if BUILD_PLUGIN_TARGET_SCALE
1066 pkglib_LTLIBRARIES += target_scale.la
1067 target_scale_la_SOURCES = target_scale.c
1068 target_scale_la_LDFLAGS = -module -avoid-version
1069 collectd_LDADD += "-dlopen" target_scale.la
1070 collectd_DEPENDENCIES += target_scale.la
1071 endif
1072
1073 if BUILD_PLUGIN_TARGET_SET
1074 pkglib_LTLIBRARIES += target_set.la
1075 target_set_la_SOURCES = target_set.c
1076 target_set_la_LDFLAGS = -module -avoid-version
1077 collectd_LDADD += "-dlopen" target_set.la
1078 collectd_DEPENDENCIES += target_set.la
1079 endif
1080
1081 if BUILD_PLUGIN_TARGET_V5UPGRADE
1082 pkglib_LTLIBRARIES += target_v5upgrade.la
1083 target_v5upgrade_la_SOURCES = target_v5upgrade.c
1084 target_v5upgrade_la_LDFLAGS = -module -avoid-version
1085 collectd_LDADD += "-dlopen" target_v5upgrade.la
1086 collectd_DEPENDENCIES += target_v5upgrade.la
1087 endif
1088
1089 if BUILD_PLUGIN_TCPCONNS
1090 pkglib_LTLIBRARIES += tcpconns.la
1091 tcpconns_la_SOURCES = tcpconns.c
1092 tcpconns_la_LDFLAGS = -module -avoid-version
1093 tcpconns_la_LIBADD =
1094 collectd_LDADD += "-dlopen" tcpconns.la
1095 collectd_DEPENDENCIES += tcpconns.la
1096 if BUILD_WITH_LIBKVM_NLIST
1097 tcpconns_la_LIBADD += -lkvm
1098 endif
1099 endif
1100
1101 if BUILD_PLUGIN_TEAMSPEAK2
1102 pkglib_LTLIBRARIES += teamspeak2.la
1103 teamspeak2_la_SOURCES = teamspeak2.c
1104 teamspeak2_la_LDFLAGS = -module -avoid-version
1105 collectd_LDADD += "-dlopen" teamspeak2.la
1106 collectd_DEPENDENCIES += teamspeak2.la
1107 endif
1108
1109 if BUILD_PLUGIN_TED
1110 pkglib_LTLIBRARIES += ted.la
1111 ted_la_SOURCES = ted.c
1112 ted_la_LDFLAGS = -module -avoid-version
1113 collectd_LDADD += "-dlopen" ted.la
1114 collectd_DEPENDENCIES += ted.la
1115 endif
1116
1117 if BUILD_PLUGIN_THERMAL
1118 pkglib_LTLIBRARIES += thermal.la
1119 thermal_la_SOURCES = thermal.c
1120 thermal_la_LDFLAGS = -module -avoid-version
1121 collectd_LDADD += "-dlopen" thermal.la
1122 collectd_DEPENDENCIES += thermal.la
1123 endif
1124
1125 if BUILD_PLUGIN_THRESHOLD
1126 pkglib_LTLIBRARIES += threshold.la
1127 threshold_la_SOURCES = threshold.c
1128 threshold_la_LDFLAGS = -module -avoid-version
1129 collectd_LDADD += "-dlopen" threshold.la
1130 collectd_DEPENDENCIES += threshold.la
1131 endif
1132
1133 if BUILD_PLUGIN_TOKYOTYRANT
1134 pkglib_LTLIBRARIES += tokyotyrant.la
1135 tokyotyrant_la_SOURCES = tokyotyrant.c
1136 tokyotyrant_la_CPPFLAGS  = $(AM_CPPFLAGS) $(BUILD_WITH_LIBTOKYOTYRANT_CPPFLAGS)
1137 tokyotyrant_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBTOKYOTYRANT_LDFLAGS)
1138 tokyotyrant_la_LIBADD  = $(BUILD_WITH_LIBTOKYOTYRANT_LIBS)
1139 if BUILD_WITH_LIBSOCKET
1140 tokyotyrant_la_LIBADD += -lsocket
1141 endif
1142 collectd_LDADD += "-dlopen" tokyotyrant.la
1143 collectd_DEPENDENCIES += tokyotyrant.la
1144 endif
1145
1146 if BUILD_PLUGIN_UNIXSOCK
1147 pkglib_LTLIBRARIES += unixsock.la
1148 unixsock_la_SOURCES = unixsock.c \
1149                       utils_cmd_flush.h utils_cmd_flush.c \
1150                       utils_cmd_getval.h utils_cmd_getval.c \
1151                       utils_cmd_listval.h utils_cmd_listval.c \
1152                       utils_cmd_putval.h utils_cmd_putval.c \
1153                       utils_cmd_putnotif.h utils_cmd_putnotif.c
1154 unixsock_la_LDFLAGS = -module -avoid-version
1155 unixsock_la_LIBADD = -lpthread
1156 collectd_LDADD += "-dlopen" unixsock.la
1157 collectd_DEPENDENCIES += unixsock.la
1158 endif
1159
1160 if BUILD_PLUGIN_UPTIME
1161 pkglib_LTLIBRARIES += uptime.la
1162 uptime_la_SOURCES = uptime.c
1163 uptime_la_CFLAGS = $(AM_CFLAGS)
1164 uptime_la_LDFLAGS = -module -avoid-version
1165 uptime_la_LIBADD =
1166 if BUILD_WITH_LIBKSTAT
1167 uptime_la_LIBADD += -lkstat
1168 endif
1169 collectd_LDADD += "-dlopen" uptime.la
1170 collectd_DEPENDENCIES += uptime.la
1171 endif
1172
1173 if BUILD_PLUGIN_USERS
1174 pkglib_LTLIBRARIES += users.la
1175 users_la_SOURCES = users.c
1176 users_la_CFLAGS = $(AM_CFLAGS)
1177 users_la_LDFLAGS = -module -avoid-version
1178 users_la_LIBADD =
1179 if BUILD_WITH_LIBSTATGRAB
1180 users_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
1181 users_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
1182 endif
1183 collectd_LDADD += "-dlopen" users.la
1184 collectd_DEPENDENCIES += users.la
1185 endif
1186
1187 if BUILD_PLUGIN_UUID
1188 pkglib_LTLIBRARIES += uuid.la
1189 uuid_la_SOURCES = uuid.c
1190 uuid_la_CFLAGS  = $(AM_CFLAGS) $(BUILD_WITH_LIBHAL_CFLAGS)
1191 uuid_la_LIBADD  = $(BUILD_WITH_LIBHAL_LIBS)
1192 uuid_la_LDFLAGS = -module -avoid-version
1193 collectd_LDADD += "-dlopen" uuid.la
1194 collectd_DEPENDENCIES += uuid.la
1195 endif
1196
1197 if BUILD_PLUGIN_VARNISH
1198 pkglib_LTLIBRARIES += varnish.la
1199 varnish_la_SOURCES = varnish.c
1200 varnish_la_LDFLAGS = -module -avoid-version
1201 varnish_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBVARNISH_CFLAGS)
1202 varnish_la_LIBADD = $(BUILD_WITH_LIBVARNISH_LIBS)
1203 collectd_LDADD += "-dlopen" varnish.la
1204 collectd_DEPENDENCIES += varnish.la
1205 endif
1206
1207 if BUILD_PLUGIN_VMEM
1208 pkglib_LTLIBRARIES += vmem.la
1209 vmem_la_SOURCES = vmem.c
1210 vmem_la_LDFLAGS = -module -avoid-version
1211 collectd_LDADD += "-dlopen" vmem.la
1212 collectd_DEPENDENCIES += vmem.la
1213 endif
1214
1215 if BUILD_PLUGIN_VSERVER
1216 pkglib_LTLIBRARIES += vserver.la
1217 vserver_la_SOURCES = vserver.c
1218 vserver_la_LDFLAGS = -module -avoid-version
1219 collectd_LDADD += "-dlopen" vserver.la
1220 collectd_DEPENDENCIES += vserver.la
1221 endif
1222
1223 if BUILD_PLUGIN_WIRELESS
1224 pkglib_LTLIBRARIES += wireless.la
1225 wireless_la_SOURCES = wireless.c
1226 wireless_la_LDFLAGS = -module -avoid-version
1227 collectd_LDADD += "-dlopen" wireless.la
1228 collectd_DEPENDENCIES += wireless.la
1229 endif
1230
1231 if BUILD_PLUGIN_WRITE_HTTP
1232 pkglib_LTLIBRARIES += write_http.la
1233 write_http_la_SOURCES = write_http.c \
1234                         utils_format_json.c utils_format_json.h
1235 write_http_la_LDFLAGS = -module -avoid-version
1236 write_http_la_CFLAGS = $(AM_CFLAGS)
1237 write_http_la_LIBADD =
1238 collectd_LDADD += "-dlopen" write_http.la
1239 if BUILD_WITH_LIBCURL
1240 write_http_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
1241 write_http_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
1242 endif
1243 collectd_DEPENDENCIES += write_http.la
1244 endif
1245
1246 if BUILD_PLUGIN_WRITE_REDIS
1247 pkglib_LTLIBRARIES += write_redis.la
1248 write_redis_la_SOURCES = write_redis.c
1249 write_redis_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBCREDIS_LDFLAGS)
1250 write_redis_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBCREDIS_CPPFLAGS)
1251 write_redis_la_LIBADD = -lcredis
1252 collectd_LDADD += "-dlopen" write_redis.la
1253 collectd_DEPENDENCIES += write_redis.la
1254 endif
1255
1256 if BUILD_PLUGIN_XMMS
1257 pkglib_LTLIBRARIES += xmms.la
1258 xmms_la_SOURCES = xmms.c
1259 xmms_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBXMMS_CFLAGS)
1260 xmms_la_LDFLAGS = -module -avoid-version
1261 xmms_la_LIBADD = $(BUILD_WITH_LIBXMMS_LIBS)
1262 collectd_LDADD += "-dlopen" xmms.la
1263 collectd_DEPENDENCIES += xmms.la
1264 endif
1265
1266 if BUILD_PLUGIN_ZFS_ARC
1267 pkglib_LTLIBRARIES += zfs_arc.la
1268 zfs_arc_la_SOURCES = zfs_arc.c
1269 zfs_arc_la_CFLAGS = $(AM_CFLAGS)
1270 zfs_arc_la_LDFLAGS = -module -avoid-version
1271 zfs_arc_la_LIBADD = -lkstat
1272 collectd_LDADD += "-dlopen" zfs_arc.la
1273 collectd_DEPENDENCIES += zfs_arc.la
1274 endif
1275
1276 dist_man_MANS = collectd.1 \
1277                 collectd.conf.5 \
1278                 collectd-email.5 \
1279                 collectd-exec.5 \
1280                 collectdctl.1 \
1281                 collectd-java.5 \
1282                 collectdmon.1 \
1283                 collectd-nagios.1 \
1284                 collectd-perl.5 \
1285                 collectd-python.5 \
1286                 collectd-snmp.5 \
1287                 collectd-threshold.5 \
1288                 collectd-unixsock.5 \
1289                 types.db.5
1290
1291 #collectd_1_SOURCES = collectd.pod
1292
1293 EXTRA_DIST = types.db pinba.proto
1294
1295 EXTRA_DIST +=   collectd.conf.pod \
1296                 collectd-email.pod \
1297                 collectd-exec.pod \
1298                 collectdctl.pod \
1299                 collectd-java.pod \
1300                 collectdmon.pod \
1301                 collectd-nagios.pod \
1302                 collectd-perl.pod \
1303                 collectd-python.pod \
1304                 collectd.pod \
1305                 collectd-snmp.pod \
1306                 collectd-threshold.pod \
1307                 collectd-unixsock.pod \
1308                 postgresql_default.conf \
1309                 types.db.pod
1310
1311 .pod.1:
1312         pod2man --release=$(VERSION) --center=$(PACKAGE) $< \
1313                 >.pod2man.tmp.$$$$ 2>/dev/null && mv -f .pod2man.tmp.$$$$ $@ || true
1314         @if grep '\<POD ERRORS\>' $@ >/dev/null 2>&1; \
1315         then \
1316                 echo "$@ has some POD errors!"; false; \
1317         fi
1318
1319 .pod.5:
1320         pod2man --section=5 --release=$(VERSION) --center=$(PACKAGE) $< \
1321                 >.pod2man.tmp.$$$$ 2>/dev/null && mv -f .pod2man.tmp.$$$$ $@ || true
1322         @if grep '\<POD ERRORS\>' $@ >/dev/null 2>&1; \
1323         then \
1324                 echo "$@ has some POD errors!"; false; \
1325         fi
1326
1327 pinba.pb-c.c pinba.pb-c.h: pinba.proto
1328         protoc-c --c_out $(builddir) pinba.proto
1329
1330 install-exec-hook:
1331         $(mkinstalldirs) $(DESTDIR)$(sysconfdir)
1332         if test -e $(DESTDIR)$(sysconfdir)/collectd.conf; \
1333         then \
1334                 $(INSTALL) -m 0640 collectd.conf $(DESTDIR)$(sysconfdir)/collectd.conf.pkg-orig; \
1335         else \
1336                 $(INSTALL) -m 0640 collectd.conf $(DESTDIR)$(sysconfdir)/collectd.conf; \
1337         fi; \
1338         $(mkinstalldirs) $(DESTDIR)$(pkgdatadir)
1339         $(INSTALL) -m 0644 $(srcdir)/types.db $(DESTDIR)$(pkgdatadir)/types.db;
1340         $(INSTALL) -m 0644 $(srcdir)/postgresql_default.conf \
1341                 $(DESTDIR)$(pkgdatadir)/postgresql_default.conf;