python plugin: Disable strict aliasing.
[collectd.git] / src / Makefile.am
1 SUBDIRS = libcollectdclient
2 if BUILD_WITH_OWN_LIBIPTC
3 SUBDIRS += owniptc
4 endif
5 if BUILD_WITH_OWN_LIBOCONFIG
6 SUBDIRS += liboconfig
7 endif
8
9 if COMPILER_IS_GCC
10 AM_CFLAGS = -Wall -Werror
11 endif
12
13 AM_CPPFLAGS = -DPREFIX='"${prefix}"'
14 AM_CPPFLAGS += -DCONFIGFILE='"${sysconfdir}/${PACKAGE_NAME}.conf"'
15 AM_CPPFLAGS += -DLOCALSTATEDIR='"${localstatedir}"'
16 AM_CPPFLAGS += -DPKGLOCALSTATEDIR='"${localstatedir}/lib/${PACKAGE_NAME}"'
17 if BUILD_FEATURE_DAEMON
18 AM_CPPFLAGS += -DPIDFILE='"${localstatedir}/run/${PACKAGE_NAME}.pid"'
19 endif
20 AM_CPPFLAGS += -DPLUGINDIR='"${pkglibdir}"'
21 AM_CPPFLAGS += -DPKGDATADIR='"${pkgdatadir}"'
22
23 sbin_PROGRAMS = collectd collectdmon
24 bin_PROGRAMS = collectd-nagios
25
26 collectd_SOURCES = collectd.c collectd.h \
27                    common.c common.h \
28                    configfile.c configfile.h \
29                    filter_chain.c filter_chain.h \
30                    meta_data.c meta_data.h \
31                    plugin.c plugin.h \
32                    utils_avltree.c utils_avltree.h \
33                    utils_cache.c utils_cache.h \
34                    utils_complain.c utils_complain.h \
35                    utils_heap.c utils_heap.h \
36                    utils_ignorelist.c utils_ignorelist.h \
37                    utils_llist.c utils_llist.h \
38                    utils_parse_option.c utils_parse_option.h \
39                    utils_tail_match.c utils_tail_match.h \
40                    utils_match.c utils_match.h \
41                    utils_subst.c utils_subst.h \
42                    utils_tail.c utils_tail.h \
43                    utils_threshold.c utils_threshold.h \
44                    types_list.c types_list.h
45
46 collectd_CPPFLAGS =  $(AM_CPPFLAGS) $(LTDLINCL)
47 collectd_CFLAGS = $(AM_CFLAGS)
48 collectd_LDFLAGS = -export-dynamic
49 collectd_LDADD =
50 collectd_DEPENDENCIES =
51
52 # Link to these libraries..
53 if BUILD_WITH_LIBRT
54 collectd_LDADD += -lrt
55 endif
56 if BUILD_WITH_LIBPOSIX4
57 collectd_LDADD += -lposix4
58 endif
59 if BUILD_WITH_LIBSOCKET
60 collectd_LDADD += -lsocket
61 endif
62 if BUILD_WITH_LIBRESOLV
63 collectd_LDADD += -lresolv
64 endif
65 if BUILD_WITH_LIBPTHREAD
66 collectd_LDADD += -lpthread
67 endif
68 if BUILD_WITH_LIBKSTAT
69 collectd_LDADD += -lkstat
70 endif
71 if BUILD_WITH_LIBDEVINFO
72 collectd_LDADD += -ldevinfo
73 endif
74 if BUILD_AIX
75 collectd_LDFLAGS += -Wl,-bexpall,-brtllib
76 collectd_LDADD += -lm
77 endif
78
79 # The daemon needs to call sg_init, so we need to link it against libstatgrab,
80 # too. -octo
81 if BUILD_WITH_LIBSTATGRAB
82 collectd_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
83 collectd_LDADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
84 endif
85
86 if BUILD_WITH_OWN_LIBOCONFIG
87 collectd_LDADD += $(LIBLTDL) liboconfig/liboconfig.la
88 collectd_DEPENDENCIES += $(LIBLTDL) liboconfig/liboconfig.la
89 else
90 collectd_LDADD += -loconfig
91 endif
92
93 collectdmon_SOURCES = collectdmon.c
94 collectdmon_CPPFLAGS = $(AM_CPPFLAGS)
95
96 collectd_nagios_SOURCES = collectd-nagios.c
97 collectd_nagios_LDADD =
98 if BUILD_WITH_LIBSOCKET
99 collectd_nagios_LDADD += -lsocket
100 endif
101 if BUILD_AIX
102 collectd_nagios_LDADD += -lm
103 endif
104
105 collectd_nagios_LDADD += libcollectdclient/libcollectdclient.la
106 collectd_nagios_DEPENDENCIES = libcollectdclient/libcollectdclient.la
107
108 pkglib_LTLIBRARIES = 
109
110 BUILT_SOURCES = 
111
112 if BUILD_PLUGIN_APACHE
113 pkglib_LTLIBRARIES += apache.la
114 apache_la_SOURCES = apache.c
115 apache_la_LDFLAGS = -module -avoid-version
116 apache_la_CFLAGS = $(AM_CFLAGS)
117 apache_la_LIBADD =
118 collectd_LDADD += "-dlopen" apache.la
119 if BUILD_WITH_LIBCURL
120 apache_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
121 apache_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
122 endif
123 collectd_DEPENDENCIES += apache.la
124 endif
125
126 if BUILD_PLUGIN_APCUPS
127 pkglib_LTLIBRARIES += apcups.la
128 apcups_la_SOURCES = apcups.c
129 apcups_la_LDFLAGS = -module -avoid-version
130 apcups_la_LIBADD =
131 if BUILD_WITH_LIBSOCKET
132 apcups_la_LIBADD += -lsocket
133 endif
134 collectd_LDADD += "-dlopen" apcups.la
135 collectd_DEPENDENCIES += apcups.la
136 endif
137
138 if BUILD_PLUGIN_APPLE_SENSORS
139 pkglib_LTLIBRARIES += apple_sensors.la
140 apple_sensors_la_SOURCES = apple_sensors.c
141 apple_sensors_la_LDFLAGS = -module -avoid-version
142 apple_sensors_la_LIBADD = -lIOKit
143 collectd_LDADD += "-dlopen" apple_sensors.la
144 collectd_DEPENDENCIES += apple_sensors.la
145 endif
146
147 if BUILD_PLUGIN_ASCENT
148 pkglib_LTLIBRARIES += ascent.la
149 ascent_la_SOURCES = ascent.c
150 ascent_la_LDFLAGS = -module -avoid-version
151 ascent_la_CFLAGS = $(AM_CFLAGS) \
152                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
153 ascent_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
154 collectd_LDADD += "-dlopen" ascent.la
155 collectd_DEPENDENCIES += ascent.la
156 endif
157
158 if BUILD_PLUGIN_BATTERY
159 pkglib_LTLIBRARIES += battery.la
160 battery_la_SOURCES = battery.c
161 battery_la_LDFLAGS = -module -avoid-version
162 battery_la_LIBADD =
163 if BUILD_WITH_LIBIOKIT
164 battery_la_LIBADD += -lIOKit
165 endif
166 collectd_LDADD += "-dlopen" battery.la
167 collectd_DEPENDENCIES += battery.la
168 endif
169
170 if BUILD_PLUGIN_BIND
171 pkglib_LTLIBRARIES += bind.la
172 bind_la_SOURCES = bind.c
173 bind_la_LDFLAGS = -module -avoid-version
174 bind_la_CFLAGS = $(AM_CFLAGS) \
175                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
176 bind_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
177 collectd_LDADD += "-dlopen" bind.la
178 collectd_DEPENDENCIES += bind.la
179 endif
180
181 if BUILD_PLUGIN_CONNTRACK
182 pkglib_LTLIBRARIES += conntrack.la
183 conntrack_la_SOURCES = conntrack.c
184 conntrack_la_LDFLAGS = -module -avoid-version
185 collectd_LDADD += "-dlopen" conntrack.la
186 collectd_DEPENDENCIES += conntrack.la
187 endif
188
189 if BUILD_PLUGIN_CONTEXTSWITCH
190 pkglib_LTLIBRARIES += contextswitch.la
191 contextswitch_la_SOURCES = contextswitch.c
192 contextswitch_la_LDFLAGS = -module -avoid-version
193 collectd_LDADD += "-dlopen" contextswitch.la
194 collectd_DEPENDENCIES += contextswitch.la
195 endif
196
197 if BUILD_PLUGIN_CPU
198 pkglib_LTLIBRARIES += cpu.la
199 cpu_la_SOURCES = cpu.c
200 cpu_la_CFLAGS = $(AM_CFLAGS)
201 cpu_la_LDFLAGS = -module -avoid-version
202 cpu_la_LIBADD = 
203 if BUILD_WITH_LIBKSTAT
204 cpu_la_LIBADD += -lkstat
205 endif
206 if BUILD_WITH_LIBDEVINFO
207 cpu_la_LIBADD += -ldevinfo
208 endif
209 if BUILD_WITH_LIBSTATGRAB
210 cpu_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
211 cpu_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
212 endif
213 if BUILD_WITH_PERFSTAT
214 cpu_la_LIBADD += -lperfstat
215 endif
216 collectd_LDADD += "-dlopen" cpu.la
217 collectd_DEPENDENCIES += cpu.la
218 endif
219
220 if BUILD_PLUGIN_CPUFREQ
221 pkglib_LTLIBRARIES += cpufreq.la
222 cpufreq_la_SOURCES = cpufreq.c
223 cpufreq_la_LDFLAGS = -module -avoid-version
224 collectd_LDADD += "-dlopen" cpufreq.la
225 collectd_DEPENDENCIES += cpufreq.la
226 endif
227
228 if BUILD_PLUGIN_CSV
229 pkglib_LTLIBRARIES += csv.la
230 csv_la_SOURCES = csv.c
231 csv_la_LDFLAGS = -module -avoid-version
232 collectd_LDADD += "-dlopen" csv.la
233 collectd_DEPENDENCIES += csv.la
234 endif
235
236 if BUILD_PLUGIN_CURL
237 pkglib_LTLIBRARIES += curl.la
238 curl_la_SOURCES = curl.c
239 curl_la_LDFLAGS = -module -avoid-version
240 curl_la_CFLAGS = $(AM_CFLAGS)
241 curl_la_LIBADD =
242 collectd_LDADD += "-dlopen" curl.la
243 if BUILD_WITH_LIBCURL
244 curl_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
245 curl_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
246 endif
247 collectd_DEPENDENCIES += curl.la
248 endif
249
250 if BUILD_PLUGIN_CURL_JSON
251 pkglib_LTLIBRARIES += curl_json.la
252 curl_json_la_SOURCES = curl_json.c
253 curl_json_la_CFLAGS = $(AM_CFLAGS)
254 curl_json_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBYAJL_LDFLAGS)
255 curl_json_la_CPPFLAGS = $(BUILD_WITH_LIBYAJL_CPPFLAGS)
256 curl_json_la_LIBADD = $(BUILD_WITH_LIBYAJL_LIBS)
257 if BUILD_WITH_LIBCURL
258 curl_json_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
259 curl_json_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
260 endif
261 collectd_LDADD += "-dlopen" curl_json.la
262 collectd_DEPENDENCIES += curl_json.la
263 endif
264
265 if BUILD_PLUGIN_CURL_XML
266 pkglib_LTLIBRARIES += curl_xml.la
267 curl_xml_la_SOURCES = curl_xml.c
268 curl_xml_la_LDFLAGS = -module -avoid-version
269 curl_xml_la_CFLAGS = $(AM_CFLAGS) \
270                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
271 curl_xml_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
272 collectd_LDADD += "-dlopen" curl_xml.la
273 collectd_DEPENDENCIES += curl_xml.la
274 endif
275
276 if BUILD_PLUGIN_DBI
277 pkglib_LTLIBRARIES += dbi.la
278 dbi_la_SOURCES = dbi.c \
279                  utils_db_query.c utils_db_query.h
280 dbi_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBDBI_CPPFLAGS)
281 dbi_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBDBI_LDFLAGS)
282 dbi_la_LIBADD = $(BUILD_WITH_LIBDBI_LIBS)
283 collectd_LDADD += "-dlopen" dbi.la
284 collectd_DEPENDENCIES += dbi.la
285 endif
286
287 if BUILD_PLUGIN_DF
288 pkglib_LTLIBRARIES += df.la
289 df_la_SOURCES = df.c utils_mount.c utils_mount.h
290 df_la_LDFLAGS = -module -avoid-version
291 collectd_LDADD += "-dlopen" df.la
292 collectd_DEPENDENCIES += df.la
293 endif
294
295 if BUILD_PLUGIN_DISK
296 pkglib_LTLIBRARIES += disk.la
297 disk_la_SOURCES = disk.c
298 disk_la_CFLAGS = $(AM_CFLAGS)
299 disk_la_LDFLAGS = -module -avoid-version
300 disk_la_LIBADD = 
301 if BUILD_WITH_LIBKSTAT
302 disk_la_LIBADD += -lkstat
303 endif
304 if BUILD_WITH_LIBDEVINFO
305 disk_la_LIBADD += -ldevinfo
306 endif
307 if BUILD_WITH_LIBIOKIT
308 disk_la_LIBADD += -lIOKit
309 endif
310 if BUILD_WITH_LIBSTATGRAB
311 disk_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)  
312 disk_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
313 endif
314 if BUILD_WITH_PERFSTAT
315 disk_la_LIBADD += -lperfstat
316 endif
317 collectd_LDADD += "-dlopen" disk.la
318 collectd_DEPENDENCIES += disk.la
319 endif
320
321 if BUILD_PLUGIN_DNS
322 pkglib_LTLIBRARIES += dns.la
323 dns_la_SOURCES = dns.c utils_dns.c utils_dns.h
324 dns_la_LDFLAGS = -module -avoid-version
325 dns_la_LIBADD = -lpcap -lpthread
326 collectd_LDADD += "-dlopen" dns.la
327 collectd_DEPENDENCIES += dns.la
328 endif
329
330 if BUILD_PLUGIN_EMAIL
331 pkglib_LTLIBRARIES += email.la
332 email_la_SOURCES = email.c
333 email_la_LDFLAGS = -module -avoid-version
334 email_la_LIBADD = -lpthread
335 collectd_LDADD += "-dlopen" email.la
336 collectd_DEPENDENCIES += email.la
337 endif
338
339 if BUILD_PLUGIN_ENTROPY
340 pkglib_LTLIBRARIES += entropy.la
341 entropy_la_SOURCES = entropy.c
342 entropy_la_LDFLAGS = -module -avoid-version
343 collectd_LDADD += "-dlopen" entropy.la
344 collectd_DEPENDENCIES += entropy.la
345 endif
346
347 if BUILD_PLUGIN_EXEC
348 pkglib_LTLIBRARIES += exec.la
349 exec_la_SOURCES = exec.c \
350                   utils_cmd_putnotif.c utils_cmd_putnotif.h \
351                   utils_cmd_putval.c utils_cmd_putval.h
352 exec_la_LDFLAGS = -module -avoid-version
353 exec_la_LIBADD = -lpthread
354 collectd_LDADD += "-dlopen" exec.la
355 collectd_DEPENDENCIES += exec.la
356 endif
357
358 if BUILD_PLUGIN_FILECOUNT
359 pkglib_LTLIBRARIES += filecount.la
360 filecount_la_SOURCES = filecount.c
361 filecount_la_LDFLAGS = -module -avoid-version
362 collectd_LDADD += "-dlopen" filecount.la
363 collectd_DEPENDENCIES += filecount.la
364 endif
365
366 if BUILD_PLUGIN_GMOND
367 pkglib_LTLIBRARIES += gmond.la
368 gmond_la_SOURCES = gmond.c
369 gmond_la_CPPFLAGS = $(AM_CPPFLAGS) $(GANGLIA_CPPFLAGS)
370 gmond_la_LDFLAGS = -module -avoid-version $(GANGLIA_LDFLAGS)
371 gmond_la_LIBADD = $(GANGLIA_LIBS)
372 collectd_LDADD += "-dlopen" gmond.la
373 collectd_DEPENDENCIES += gmond.la
374 endif
375
376 if BUILD_PLUGIN_HDDTEMP
377 pkglib_LTLIBRARIES += hddtemp.la
378 hddtemp_la_SOURCES = hddtemp.c
379 hddtemp_la_LDFLAGS = -module -avoid-version
380 hddtemp_la_LIBADD =
381 if BUILD_WITH_LIBSOCKET
382 hddtemp_la_LIBADD += -lsocket
383 endif
384 collectd_LDADD += "-dlopen" hddtemp.la
385 collectd_DEPENDENCIES += hddtemp.la
386 endif
387
388 if BUILD_PLUGIN_INTERFACE
389 pkglib_LTLIBRARIES += interface.la
390 interface_la_SOURCES = interface.c
391 interface_la_CFLAGS = $(AM_CFLAGS)
392 interface_la_LDFLAGS = -module -avoid-version
393 interface_la_LIBADD =
394 collectd_LDADD += "-dlopen" interface.la
395 collectd_DEPENDENCIES += interface.la
396 if BUILD_WITH_LIBSTATGRAB
397 interface_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
398 interface_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
399 else
400 if BUILD_WITH_LIBKSTAT
401 interface_la_LIBADD += -lkstat
402 endif
403 if BUILD_WITH_LIBDEVINFO
404 interface_la_LIBADD += -ldevinfo
405 endif # BUILD_WITH_LIBDEVINFO
406 endif # !BUILD_WITH_LIBSTATGRAB
407 if BUILD_WITH_PERFSTAT
408 interface_la_LIBADD += -lperfstat
409 endif
410 endif # BUILD_PLUGIN_INTERFACE
411
412 if BUILD_PLUGIN_IPTABLES
413 pkglib_LTLIBRARIES += iptables.la
414 iptables_la_SOURCES = iptables.c
415 iptables_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBIPTC_CPPFLAGS)
416 iptables_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBIPTC_LDFLAGS)
417 if BUILD_WITH_OWN_LIBIPTC
418 iptables_la_LIBADD  = owniptc/libiptc.la
419 iptables_la_DEPENDENCIES = owniptc/libiptc.la
420 else
421 iptables_la_LIBADD = -liptc
422 endif
423 collectd_LDADD += "-dlopen" iptables.la
424 collectd_DEPENDENCIES += iptables.la
425 endif
426
427 if BUILD_PLUGIN_IPMI
428 pkglib_LTLIBRARIES += ipmi.la
429 ipmi_la_SOURCES = ipmi.c
430 ipmi_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_OPENIPMI_CFLAGS)
431 ipmi_la_LDFLAGS = -module -avoid-version
432 ipmi_la_LIBADD = $(BUILD_WITH_OPENIPMI_LIBS)
433 collectd_LDADD += "-dlopen" ipmi.la
434 collectd_DEPENDENCIES += ipmi.la
435 endif
436
437 if BUILD_PLUGIN_IPVS
438 pkglib_LTLIBRARIES += ipvs.la
439 ipvs_la_SOURCES = ipvs.c
440 ipvs_la_CFLAGS = $(AM_CFLAGS) $(KERNEL_CFLAGS)
441 ipvs_la_LDFLAGS = -module -avoid-version
442 collectd_LDADD += "-dlopen" ipvs.la
443 collectd_DEPENDENCIES += ipvs.la
444 endif
445
446 if BUILD_PLUGIN_IRQ
447 pkglib_LTLIBRARIES += irq.la
448 irq_la_SOURCES = irq.c
449 irq_la_LDFLAGS = -module -avoid-version
450 collectd_LDADD += "-dlopen" irq.la
451 collectd_DEPENDENCIES += irq.la
452 endif
453
454 if BUILD_PLUGIN_JAVA
455 pkglib_LTLIBRARIES += java.la
456 java_la_SOURCES = java.c
457 java_la_CPPFLAGS = $(AM_CPPFLAGS) $(JAVA_CPPFLAGS)
458 java_la_CFLAGS = $(AM_CFLAGS) $(JAVA_CFLAGS)
459 java_la_LDFLAGS = -module -avoid-version $(JAVA_LDFLAGS)
460 java_la_LIBADD = $(JAVA_LIBS)
461 collectd_LDADD += "-dlopen" java.la
462 collectd_DEPENDENCIES += java.la
463 endif
464
465 if BUILD_PLUGIN_LIBVIRT
466 pkglib_LTLIBRARIES += libvirt.la
467 libvirt_la_SOURCES = libvirt.c
468 libvirt_la_CFLAGS = $(AM_CFLAGS) \
469                 $(BUILD_WITH_LIBVIRT_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
470 libvirt_la_LIBADD = $(BUILD_WITH_LIBVIRT_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
471 libvirt_la_LDFLAGS = -module -avoid-version
472 collectd_LDADD += "-dlopen" libvirt.la
473 collectd_DEPENDENCIES += libvirt.la
474 endif
475
476 if BUILD_PLUGIN_LOAD
477 pkglib_LTLIBRARIES += load.la
478 load_la_SOURCES = load.c
479 load_la_CFLAGS = $(AM_CFLAGS)
480 load_la_LDFLAGS = -module -avoid-version
481 load_la_LIBADD =
482 collectd_LDADD += "-dlopen" load.la
483 collectd_DEPENDENCIES += load.la
484 if BUILD_WITH_LIBSTATGRAB
485 load_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
486 load_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
487 endif # BUILD_WITH_LIBSTATGRAB
488 if BUILD_WITH_PERFSTAT
489 load_la_LIBADD += -lperfstat
490 endif
491 endif # BUILD_PLUGIN_LOAD
492
493 if BUILD_PLUGIN_LOGFILE
494 pkglib_LTLIBRARIES += logfile.la
495 logfile_la_SOURCES = logfile.c
496 logfile_la_LDFLAGS = -module -avoid-version
497 collectd_LDADD += "-dlopen" logfile.la
498 collectd_DEPENDENCIES += logfile.la
499 endif
500
501 if BUILD_PLUGIN_MADWIFI
502 pkglib_LTLIBRARIES += madwifi.la
503 madwifi_la_SOURCES = madwifi.c madwifi.h
504 madwifi_la_LDFLAGS = -module -avoid-version
505 collectd_LDADD += "-dlopen" madwifi.la
506 collectd_DEPENDENCIES += madwifi.la
507 endif
508
509 if BUILD_PLUGIN_MATCH_EMPTY_COUNTER
510 pkglib_LTLIBRARIES += match_empty_counter.la
511 match_empty_counter_la_SOURCES = match_empty_counter.c
512 match_empty_counter_la_LDFLAGS = -module -avoid-version
513 collectd_LDADD += "-dlopen" match_empty_counter.la
514 collectd_DEPENDENCIES += match_empty_counter.la
515 endif
516
517 if BUILD_PLUGIN_MATCH_HASHED
518 pkglib_LTLIBRARIES += match_hashed.la
519 match_hashed_la_SOURCES = match_hashed.c
520 match_hashed_la_LDFLAGS = -module -avoid-version
521 collectd_LDADD += "-dlopen" match_hashed.la
522 collectd_DEPENDENCIES += match_hashed.la
523 endif
524
525 if BUILD_PLUGIN_MATCH_REGEX
526 pkglib_LTLIBRARIES += match_regex.la
527 match_regex_la_SOURCES = match_regex.c
528 match_regex_la_LDFLAGS = -module -avoid-version
529 collectd_LDADD += "-dlopen" match_regex.la
530 collectd_DEPENDENCIES += match_regex.la
531 endif
532
533 if BUILD_PLUGIN_MATCH_TIMEDIFF
534 pkglib_LTLIBRARIES += match_timediff.la
535 match_timediff_la_SOURCES = match_timediff.c
536 match_timediff_la_LDFLAGS = -module -avoid-version
537 collectd_LDADD += "-dlopen" match_timediff.la
538 collectd_DEPENDENCIES += match_timediff.la
539 endif
540
541 if BUILD_PLUGIN_MATCH_VALUE
542 pkglib_LTLIBRARIES += match_value.la
543 match_value_la_SOURCES = match_value.c
544 match_value_la_LDFLAGS = -module -avoid-version
545 collectd_LDADD += "-dlopen" match_value.la
546 collectd_DEPENDENCIES += match_value.la
547 endif
548
549 if BUILD_PLUGIN_MBMON
550 pkglib_LTLIBRARIES += mbmon.la
551 mbmon_la_SOURCES = mbmon.c
552 mbmon_la_LDFLAGS = -module -avoid-version
553 mbmon_la_LIBADD =
554 if BUILD_WITH_LIBSOCKET
555 mbmon_la_LIBADD += -lsocket
556 endif
557 collectd_LDADD += "-dlopen" mbmon.la
558 collectd_DEPENDENCIES += mbmon.la
559 endif
560
561 if BUILD_PLUGIN_MEMCACHEC
562 pkglib_LTLIBRARIES += memcachec.la
563 memcachec_la_SOURCES = memcachec.c
564 memcachec_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBMEMCACHED_LDFLAGS)
565 memcachec_la_CPPFLAGS = $(BUILD_WITH_LIBMEMCACHED_CPPFLAGS)
566 memcachec_la_LIBADD = $(BUILD_WITH_LIBMEMCACHED_LIBS)
567 collectd_LDADD += "-dlopen" memcachec.la
568 collectd_DEPENDENCIES += memcachec.la
569 endif
570
571 if BUILD_PLUGIN_MEMCACHED
572 pkglib_LTLIBRARIES += memcached.la
573 memcached_la_SOURCES = memcached.c
574 memcached_la_LDFLAGS = -module -avoid-version
575 memcached_la_LIBADD =
576 if BUILD_WITH_LIBSOCKET
577 memcached_la_LIBADD += -lsocket
578 endif
579 collectd_LDADD += "-dlopen" memcached.la
580 collectd_DEPENDENCIES += memcached.la
581 endif
582
583 if BUILD_PLUGIN_MEMORY
584 pkglib_LTLIBRARIES += memory.la
585 memory_la_SOURCES = memory.c
586 memory_la_CFLAGS = $(AM_CFLAGS)
587 memory_la_LDFLAGS = -module -avoid-version
588 memory_la_LIBADD =
589 collectd_LDADD += "-dlopen" memory.la
590 collectd_DEPENDENCIES += memory.la
591 if BUILD_WITH_LIBKSTAT
592 memory_la_LIBADD += -lkstat
593 endif
594 if BUILD_WITH_LIBDEVINFO
595 memory_la_LIBADD += -ldevinfo
596 endif
597 if BUILD_WITH_LIBSTATGRAB
598 memory_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
599 memory_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
600 endif
601 if BUILD_WITH_PERFSTAT
602 memory_la_LIBADD += -lperfstat
603 endif
604 endif
605
606 if BUILD_PLUGIN_MODBUS
607 pkglib_LTLIBRARIES += modbus.la
608 modbus_la_SOURCES = modbus.c
609 modbus_la_LDFLAGS = -module -avoid-version
610 modbus_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBMODBUS_CFLAGS)
611 modbus_la_LIBADD = $(BUILD_WITH_LIBMODBUS_LIBS)
612 collectd_LDADD += "-dlopen" modbus.la
613 collectd_DEPENDENCIES += modbus.la
614 endif
615
616 if BUILD_PLUGIN_MULTIMETER
617 pkglib_LTLIBRARIES += multimeter.la
618 multimeter_la_SOURCES = multimeter.c
619 multimeter_la_LDFLAGS = -module -avoid-version
620 collectd_LDADD += "-dlopen" multimeter.la
621 collectd_DEPENDENCIES += multimeter.la
622 endif
623
624 if BUILD_PLUGIN_MYSQL
625 pkglib_LTLIBRARIES += mysql.la
626 mysql_la_SOURCES = mysql.c
627 mysql_la_LDFLAGS = -module -avoid-version
628 mysql_la_CFLAGS = $(AM_CFLAGS)
629 mysql_la_LIBADD =
630 collectd_LDADD += "-dlopen" mysql.la
631 if BUILD_WITH_LIBMYSQL
632 mysql_la_CFLAGS += $(BUILD_WITH_LIBMYSQL_CFLAGS)
633 mysql_la_LIBADD += $(BUILD_WITH_LIBMYSQL_LIBS)
634 endif
635 collectd_DEPENDENCIES += mysql.la
636 endif
637
638 if BUILD_PLUGIN_NETAPP
639 pkglib_LTLIBRARIES += netapp.la
640 netapp_la_SOURCES = netapp.c
641 netapp_la_CPPFLAGS = $(AM_CPPFLAGS) $(LIBNETAPP_CPPFLAGS)
642 netapp_la_LDFLAGS = -module -avoid-version $(LIBNETAPP_LDFLAGS)
643 netapp_la_LIBADD = $(LIBNETAPP_LIBS)
644 collectd_LDADD += "-dlopen" netapp.la
645 collectd_DEPENDENCIES += netapp.la
646 endif
647
648 if BUILD_PLUGIN_NETLINK
649 pkglib_LTLIBRARIES += netlink.la
650 netlink_la_SOURCES = netlink.c
651 netlink_la_LDFLAGS = -module -avoid-version
652 netlink_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBNETLINK_CFLAGS)
653 netlink_la_LIBADD = $(BUILD_WITH_LIBNETLINK_LIBS)
654 collectd_LDADD += "-dlopen" netlink.la
655 collectd_DEPENDENCIES += netlink.la
656 endif
657
658 if BUILD_PLUGIN_NETWORK
659 pkglib_LTLIBRARIES += network.la
660 network_la_SOURCES = network.c network.h \
661                      utils_fbhash.c utils_fbhash.h
662 network_la_CPPFLAGS = $(AM_CPPFLAGS)
663 network_la_LDFLAGS = -module -avoid-version
664 network_la_LIBADD = -lpthread
665 if BUILD_WITH_LIBSOCKET
666 network_la_LIBADD += -lsocket
667 endif
668 if BUILD_WITH_LIBGCRYPT
669 network_la_CPPFLAGS += $(GCRYPT_CPPFLAGS)
670 network_la_LDFLAGS += $(GCRYPT_LDFLAGS)
671 network_la_LIBADD += $(GCRYPT_LIBS)
672 endif
673 collectd_LDADD += "-dlopen" network.la
674 collectd_DEPENDENCIES += network.la
675 endif
676
677 if BUILD_PLUGIN_NFS
678 pkglib_LTLIBRARIES += nfs.la
679 nfs_la_SOURCES = nfs.c
680 nfs_la_LDFLAGS = -module -avoid-version
681 collectd_LDADD += "-dlopen" nfs.la
682 collectd_DEPENDENCIES += nfs.la
683 endif
684
685 if BUILD_PLUGIN_FSCACHE
686 pkglib_LTLIBRARIES += fscache.la
687 fscache_la_SOURCES = fscache.c
688 fscache_la_LDFLAGS = -module -avoid-version
689 collectd_LDADD += "-dlopen" fscache.la
690 collectd_DEPENDENCIES += fscache.la
691 endif
692
693 if BUILD_PLUGIN_NGINX
694 pkglib_LTLIBRARIES += nginx.la
695 nginx_la_SOURCES = nginx.c
696 nginx_la_CFLAGS = $(AM_CFLAGS)
697 nginx_la_LIBADD =
698 nginx_la_LDFLAGS = -module -avoid-version
699 if BUILD_WITH_LIBCURL
700 nginx_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
701 nginx_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
702 endif
703 collectd_LDADD += "-dlopen" nginx.la
704 collectd_DEPENDENCIES += nginx.la
705 endif
706
707 if BUILD_PLUGIN_NOTIFY_DESKTOP
708 pkglib_LTLIBRARIES += notify_desktop.la
709 notify_desktop_la_SOURCES = notify_desktop.c
710 notify_desktop_la_CFLAGS = $(AM_CFLAGS) $(LIBNOTIFY_CFLAGS)
711 notify_desktop_la_LDFLAGS = -module -avoid-version
712 notify_desktop_la_LIBADD = $(LIBNOTIFY_LIBS)
713 collectd_LDADD += "-dlopen" notify_desktop.la
714 collectd_DEPENDENCIES += notify_desktop.la
715 endif
716
717 if BUILD_PLUGIN_NOTIFY_EMAIL
718 pkglib_LTLIBRARIES += notify_email.la
719 notify_email_la_SOURCES = notify_email.c
720 notify_email_la_LDFLAGS = -module -avoid-version
721 notify_email_la_LIBADD = -lesmtp -lssl -lcrypto -lpthread -ldl
722 collectd_LDADD += "-dlopen" notify_email.la
723 collectd_DEPENDENCIES += notify_email.la
724 endif
725
726 if BUILD_PLUGIN_NTPD
727 pkglib_LTLIBRARIES += ntpd.la
728 ntpd_la_SOURCES = ntpd.c
729 ntpd_la_LDFLAGS = -module -avoid-version
730 ntpd_la_LIBADD =
731 if BUILD_WITH_LIBSOCKET
732 ntpd_la_LIBADD += -lsocket
733 endif
734 collectd_LDADD += "-dlopen" ntpd.la
735 collectd_DEPENDENCIES += ntpd.la
736 endif
737
738 if BUILD_PLUGIN_NUT
739 pkglib_LTLIBRARIES += nut.la
740 nut_la_SOURCES = nut.c
741 nut_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBUPSCLIENT_CFLAGS)
742 nut_la_LDFLAGS = -module -avoid-version
743 nut_la_LIBADD = -lpthread $(BUILD_WITH_LIBUPSCLIENT_LIBS)
744 collectd_LDADD += "-dlopen" nut.la
745 collectd_DEPENDENCIES += nut.la
746 endif
747
748 if BUILD_PLUGIN_OLSRD
749 pkglib_LTLIBRARIES += olsrd.la
750 olsrd_la_SOURCES = olsrd.c
751 olsrd_la_LDFLAGS = -module -avoid-version
752 olsrd_la_LIBADD = 
753 if BUILD_WITH_LIBSOCKET
754 olsrd_la_LIBADD += -lsocket
755 endif
756 collectd_LDADD += "-dlopen" olsrd.la
757 collectd_DEPENDENCIES += olsrd.la
758 endif
759
760 if BUILD_PLUGIN_ONEWIRE
761 pkglib_LTLIBRARIES += onewire.la
762 onewire_la_SOURCES = onewire.c
763 onewire_la_CFLAGS = $(AM_CFLAGS)
764 onewire_la_CPPFLAGS = $(BUILD_WITH_LIBOWCAPI_CPPFLAGS)
765 onewire_la_LIBADD = $(BUILD_WITH_LIBOWCAPI_LIBS)
766 onewire_la_LDFLAGS = -module -avoid-version
767 collectd_LDADD += "-dlopen" onewire.la
768 collectd_DEPENDENCIES += onewire.la
769 endif
770
771 if BUILD_PLUGIN_OPENVPN
772 pkglib_LTLIBRARIES += openvpn.la
773 openvpn_la_SOURCES = openvpn.c
774 openvpn_la_CFLAGS = $(AM_CFLAGS)
775 openvpn_la_LDFLAGS = -module -avoid-version
776 collectd_LDADD += "-dlopen" openvpn.la
777 collectd_DEPENDENCIES += openvpn.la
778 endif
779
780 if BUILD_PLUGIN_ORACLE
781 pkglib_LTLIBRARIES += oracle.la
782 oracle_la_SOURCES = oracle.c \
783         utils_db_query.c utils_db_query.h
784 oracle_la_CFLAGS = $(AM_CFLAGS)
785 oracle_la_CPPFLAGS = $(BUILD_WITH_ORACLE_CFLAGS)
786 oracle_la_LIBADD = $(BUILD_WITH_ORACLE_LIBS)
787 oracle_la_LDFLAGS = -module -avoid-version
788 collectd_LDADD += "-dlopen" oracle.la
789 collectd_DEPENDENCIES += oracle.la
790 endif
791
792 if BUILD_PLUGIN_PERL
793 pkglib_LTLIBRARIES += perl.la
794 perl_la_SOURCES = perl.c
795 # Despite C99 providing the "bool" type thru stdbool.h, Perl defines its own
796 # version of that type if HAS_BOOL is not defined... *sigh*
797 perl_la_CPPFLAGS = $(AM_CPPFLAGS) -DHAS_BOOL=1
798 perl_la_CFLAGS  = $(AM_CFLAGS) \
799                 $(PERL_CFLAGS) \
800                 -DXS_VERSION=\"$(VERSION)\" -DVERSION=\"$(VERSION)\"
801 # Work-around for issues #41 and #42 - Perl 5.10 incorrectly introduced
802 # __attribute__nonnull__(3) for Perl_load_module().
803 if HAVE_BROKEN_PERL_LOAD_MODULE
804 perl_la_CFLAGS += -Wno-nonnull
805 endif
806 perl_la_LDFLAGS = -module -avoid-version \
807                 $(PERL_LDFLAGS)
808 collectd_LDADD += "-dlopen" perl.la
809 collectd_DEPENDENCIES += perl.la
810 endif
811
812 if BUILD_PLUGIN_PINBA
813 BUILT_SOURCES += pinba.pb-c.c pinba.pb-c.h
814 pkglib_LTLIBRARIES += pinba.la
815 pinba_la_SOURCES = pinba.c pinba.pb-c.c pinba.pb-c.h
816 pinba_la_LDFLAGS = -module -avoid-version
817 pinba_la_LIBADD = -lprotobuf-c
818 collectd_LDADD += "-dlopen" pinba.la
819 collectd_DEPENDENCIES += pinba.la
820 endif
821
822 if BUILD_PLUGIN_PING
823 pkglib_LTLIBRARIES += ping.la
824 ping_la_SOURCES = ping.c
825 ping_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBOPING_CPPFLAGS)
826 ping_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBOPING_LDFLAGS)
827 ping_la_LIBADD = -loping -lm
828 collectd_LDADD += "-dlopen" ping.la
829 collectd_DEPENDENCIES += ping.la
830 endif
831
832 if BUILD_PLUGIN_POSTGRESQL
833 pkglib_LTLIBRARIES += postgresql.la
834 postgresql_la_SOURCES = postgresql.c \
835                  utils_db_query.c utils_db_query.h
836 postgresql_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBPQ_CPPFLAGS)
837 postgresql_la_LDFLAGS = -module -avoid-version \
838                 $(BUILD_WITH_LIBPQ_LDFLAGS)
839 postgresql_la_LIBADD = -lpq
840 collectd_LDADD += "-dlopen" postgresql.la
841 collectd_DEPENDENCIES += postgresql.la
842 endif
843
844 if BUILD_PLUGIN_POWERDNS
845 pkglib_LTLIBRARIES += powerdns.la
846 powerdns_la_SOURCES = powerdns.c
847 powerdns_la_LDFLAGS = -module -avoid-version
848 collectd_LDADD += "-dlopen" powerdns.la
849 collectd_DEPENDENCIES += powerdns.la
850 endif
851
852 if BUILD_PLUGIN_PYTHON
853 pkglib_LTLIBRARIES += python.la
854 python_la_SOURCES = python.c pyconfig.c pyvalues.c cpython.h
855 python_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_PYTHON_CPPFLAGS)
856 python_la_CFLAGS = $(AM_CFLAGS)
857 if COMPILER_IS_GCC
858 python_la_CFLAGS += -fno-strict-aliasing -Wno-strict-aliasing
859 endif
860 python_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_PYTHON_LDFLAGS)
861 python_la_LIBADD = $(BUILD_WITH_PYTHON_LIBS)
862 collectd_LDADD += "-dlopen" python.la
863 collectd_DEPENDENCIES += python.la
864 endif
865
866 if BUILD_PLUGIN_PROCESSES
867 pkglib_LTLIBRARIES += processes.la
868 processes_la_SOURCES = processes.c
869 processes_la_LDFLAGS = -module -avoid-version
870 processes_la_LIBADD =
871 collectd_LDADD += "-dlopen" processes.la
872 collectd_DEPENDENCIES += processes.la
873 if BUILD_WITH_LIBKVM_GETPROCS
874 processes_la_LIBADD += -lkvm
875 endif
876 endif
877
878 if BUILD_PLUGIN_PROTOCOLS
879 pkglib_LTLIBRARIES += protocols.la
880 protocols_la_SOURCES = protocols.c
881 protocols_la_LDFLAGS = -module -avoid-version
882 collectd_LDADD += "-dlopen" protocols.la
883 collectd_DEPENDENCIES += protocols.la
884 endif
885
886 if BUILD_PLUGIN_ROUTEROS
887 pkglib_LTLIBRARIES += routeros.la
888 routeros_la_SOURCES = routeros.c
889 routeros_la_CPPFLAGS = $(BUILD_WITH_LIBROUTEROS_CPPFLAGS)
890 routeros_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBROUTEROS_LDFLAGS)
891 routeros_la_LIBADD = -lrouteros
892 collectd_LDADD += "-dlopen" routeros.la
893 collectd_DEPENDENCIES += routeros.la
894 endif
895
896 if BUILD_PLUGIN_RRDCACHED
897 pkglib_LTLIBRARIES += rrdcached.la
898 rrdcached_la_SOURCES = rrdcached.c utils_rrdcreate.c utils_rrdcreate.h
899 rrdcached_la_LDFLAGS = -module -avoid-version
900 rrdcached_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBRRD_CFLAGS)
901 rrdcached_la_LIBADD = $(BUILD_WITH_LIBRRD_LDFLAGS)
902 collectd_LDADD += "-dlopen" rrdcached.la
903 collectd_DEPENDENCIES += rrdcached.la
904 endif
905
906 if BUILD_PLUGIN_RRDTOOL
907 pkglib_LTLIBRARIES += rrdtool.la
908 rrdtool_la_SOURCES = rrdtool.c utils_rrdcreate.c utils_rrdcreate.h
909 rrdtool_la_LDFLAGS = -module -avoid-version
910 rrdtool_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBRRD_CFLAGS)
911 rrdtool_la_LIBADD = $(BUILD_WITH_LIBRRD_LDFLAGS)
912 collectd_LDADD += "-dlopen" rrdtool.la
913 collectd_DEPENDENCIES += rrdtool.la
914 endif
915
916 if BUILD_PLUGIN_SENSORS
917 pkglib_LTLIBRARIES += sensors.la
918 sensors_la_SOURCES = sensors.c
919 sensors_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBSENSORS_CFLAGS)
920 sensors_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBSENSORS_LDFLAGS)
921 sensors_la_LIBADD = -lsensors
922 collectd_LDADD += "-dlopen" sensors.la
923 collectd_DEPENDENCIES += sensors.la
924 endif
925
926 if BUILD_PLUGIN_SERIAL
927 pkglib_LTLIBRARIES += serial.la
928 serial_la_SOURCES = serial.c
929 serial_la_LDFLAGS = -module -avoid-version
930 collectd_LDADD += "-dlopen" serial.la
931 collectd_DEPENDENCIES += serial.la
932 endif
933
934 if BUILD_PLUGIN_SNMP
935 pkglib_LTLIBRARIES += snmp.la
936 snmp_la_SOURCES = snmp.c
937 snmp_la_LDFLAGS = -module -avoid-version
938 snmp_la_CFLAGS = $(AM_CFLAGS)
939 snmp_la_LIBADD =
940 if BUILD_WITH_LIBNETSNMP
941 snmp_la_CFLAGS += $(BUILD_WITH_LIBSNMP_CFLAGS)
942 snmp_la_LIBADD += $(BUILD_WITH_LIBSNMP_LIBS)
943 endif
944 if BUILD_WITH_LIBPTHREAD
945 snmp_la_LIBADD += -lpthread
946 endif
947 collectd_LDADD += "-dlopen" snmp.la
948 collectd_DEPENDENCIES += snmp.la
949 endif
950
951 if BUILD_PLUGIN_SWAP
952 pkglib_LTLIBRARIES += swap.la
953 swap_la_SOURCES = swap.c
954 swap_la_CFLAGS = $(AM_CFLAGS)
955 swap_la_LDFLAGS = -module -avoid-version
956 swap_la_LIBADD =
957 collectd_LDADD += "-dlopen" swap.la
958 collectd_DEPENDENCIES += swap.la
959 if BUILD_WITH_LIBKSTAT
960 swap_la_LIBADD += -lkstat
961 endif
962 if BUILD_WITH_LIBDEVINFO
963 swap_la_LIBADD += -ldevinfo
964 endif
965 if BUILD_WITH_LIBKVM_GETSWAPINFO
966 swap_la_LIBADD += -lkvm
967 endif
968 if BUILD_WITH_LIBSTATGRAB
969 swap_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
970 swap_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
971 endif
972 if BUILD_WITH_PERFSTAT
973 swap_la_LIBADD += -lperfstat
974 endif
975
976 endif
977
978 if BUILD_PLUGIN_SYSLOG
979 pkglib_LTLIBRARIES += syslog.la
980 syslog_la_SOURCES = syslog.c
981 syslog_la_LDFLAGS = -module -avoid-version
982 collectd_LDADD += "-dlopen" syslog.la
983 collectd_DEPENDENCIES += syslog.la
984 endif
985
986 if BUILD_PLUGIN_TABLE
987 pkglib_LTLIBRARIES += table.la
988 table_la_SOURCES = table.c
989 table_la_LDFLAGS = -module -avoid-version
990 collectd_LDADD += "-dlopen" table.la
991 collectd_DEPENDENCIES += table.la
992 endif
993
994 if BUILD_PLUGIN_TAIL
995 pkglib_LTLIBRARIES += tail.la
996 tail_la_SOURCES = tail.c
997 tail_la_LDFLAGS = -module -avoid-version
998 collectd_LDADD += "-dlopen" tail.la
999 collectd_DEPENDENCIES += tail.la
1000 endif
1001
1002 if BUILD_PLUGIN_TAPE
1003 pkglib_LTLIBRARIES += tape.la
1004 tape_la_SOURCES = tape.c
1005 tape_la_LDFLAGS = -module -avoid-version
1006 tape_la_LIBADD = -lkstat -ldevinfo
1007 collectd_LDADD += "-dlopen" tape.la
1008 collectd_DEPENDENCIES += tape.la
1009 endif
1010
1011 if BUILD_PLUGIN_TARGET_NOTIFICATION
1012 pkglib_LTLIBRARIES += target_notification.la
1013 target_notification_la_SOURCES = target_notification.c
1014 target_notification_la_LDFLAGS = -module -avoid-version
1015 collectd_LDADD += "-dlopen" target_notification.la
1016 collectd_DEPENDENCIES += target_notification.la
1017 endif
1018
1019 if BUILD_PLUGIN_TARGET_REPLACE
1020 pkglib_LTLIBRARIES += target_replace.la
1021 target_replace_la_SOURCES = target_replace.c
1022 target_replace_la_LDFLAGS = -module -avoid-version
1023 collectd_LDADD += "-dlopen" target_replace.la
1024 collectd_DEPENDENCIES += target_replace.la
1025 endif
1026
1027 if BUILD_PLUGIN_TARGET_SCALE
1028 pkglib_LTLIBRARIES += target_scale.la
1029 target_scale_la_SOURCES = target_scale.c
1030 target_scale_la_LDFLAGS = -module -avoid-version
1031 collectd_LDADD += "-dlopen" target_scale.la
1032 collectd_DEPENDENCIES += target_scale.la
1033 endif
1034
1035 if BUILD_PLUGIN_TARGET_SET
1036 pkglib_LTLIBRARIES += target_set.la
1037 target_set_la_SOURCES = target_set.c
1038 target_set_la_LDFLAGS = -module -avoid-version
1039 collectd_LDADD += "-dlopen" target_set.la
1040 collectd_DEPENDENCIES += target_set.la
1041 endif
1042
1043 if BUILD_PLUGIN_TCPCONNS
1044 pkglib_LTLIBRARIES += tcpconns.la
1045 tcpconns_la_SOURCES = tcpconns.c
1046 tcpconns_la_LDFLAGS = -module -avoid-version
1047 tcpconns_la_LIBADD =
1048 collectd_LDADD += "-dlopen" tcpconns.la
1049 collectd_DEPENDENCIES += tcpconns.la
1050 if BUILD_WITH_LIBKVM_NLIST
1051 tcpconns_la_LIBADD += -lkvm
1052 endif
1053 endif
1054
1055 if BUILD_PLUGIN_TEAMSPEAK2
1056 pkglib_LTLIBRARIES += teamspeak2.la
1057 teamspeak2_la_SOURCES = teamspeak2.c
1058 teamspeak2_la_LDFLAGS = -module -avoid-version
1059 collectd_LDADD += "-dlopen" teamspeak2.la
1060 collectd_DEPENDENCIES += teamspeak2.la
1061 endif
1062
1063 if BUILD_PLUGIN_TED
1064 pkglib_LTLIBRARIES += ted.la
1065 ted_la_SOURCES = ted.c
1066 ted_la_LDFLAGS = -module -avoid-version
1067 collectd_LDADD += "-dlopen" ted.la
1068 collectd_DEPENDENCIES += ted.la
1069 endif
1070
1071 if BUILD_PLUGIN_THERMAL
1072 pkglib_LTLIBRARIES += thermal.la
1073 thermal_la_SOURCES = thermal.c
1074 thermal_la_LDFLAGS = -module -avoid-version
1075 collectd_LDADD += "-dlopen" thermal.la
1076 collectd_DEPENDENCIES += thermal.la
1077 endif
1078
1079 if BUILD_PLUGIN_TOKYOTYRANT
1080 pkglib_LTLIBRARIES += tokyotyrant.la
1081 tokyotyrant_la_SOURCES = tokyotyrant.c
1082 tokyotyrant_la_CPPFLAGS  = $(AM_CPPFLAGS) $(BUILD_WITH_LIBTOKYOTYRANT_CPPFLAGS)
1083 tokyotyrant_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBTOKYOTYRANT_LDFLAGS)
1084 tokyotyrant_la_LIBADD  = $(BUILD_WITH_LIBTOKYOTYRANT_LIBS)
1085 if BUILD_WITH_LIBSOCKET
1086 tokyotyrant_la_LIBADD += -lsocket
1087 endif
1088 collectd_LDADD += "-dlopen" tokyotyrant.la
1089 collectd_DEPENDENCIES += tokyotyrant.la
1090 endif
1091
1092 if BUILD_PLUGIN_UNIXSOCK
1093 pkglib_LTLIBRARIES += unixsock.la
1094 unixsock_la_SOURCES = unixsock.c \
1095                       utils_cmd_flush.h utils_cmd_flush.c \
1096                       utils_cmd_getval.h utils_cmd_getval.c \
1097                       utils_cmd_getthreshold.h utils_cmd_getthreshold.c \
1098                       utils_cmd_listval.h utils_cmd_listval.c \
1099                       utils_cmd_putval.h utils_cmd_putval.c \
1100                       utils_cmd_putnotif.h utils_cmd_putnotif.c
1101 unixsock_la_LDFLAGS = -module -avoid-version
1102 unixsock_la_LIBADD = -lpthread
1103 collectd_LDADD += "-dlopen" unixsock.la
1104 collectd_DEPENDENCIES += unixsock.la
1105 endif
1106
1107 if BUILD_PLUGIN_UPTIME
1108 pkglib_LTLIBRARIES += uptime.la
1109 uptime_la_SOURCES = uptime.c
1110 uptime_la_CFLAGS = $(AM_CFLAGS)
1111 uptime_la_LDFLAGS = -module -avoid-version
1112 uptime_la_LIBADD =
1113 if BUILD_WITH_LIBKSTAT
1114 uptime_la_LIBADD += -lkstat
1115 endif
1116 collectd_LDADD += "-dlopen" uptime.la
1117 collectd_DEPENDENCIES += uptime.la
1118 endif
1119
1120 if BUILD_PLUGIN_USERS
1121 pkglib_LTLIBRARIES += users.la
1122 users_la_SOURCES = users.c
1123 users_la_CFLAGS = $(AM_CFLAGS)
1124 users_la_LDFLAGS = -module -avoid-version
1125 users_la_LIBADD =
1126 if BUILD_WITH_LIBSTATGRAB
1127 users_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
1128 users_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
1129 endif
1130 collectd_LDADD += "-dlopen" users.la
1131 collectd_DEPENDENCIES += users.la
1132 endif
1133
1134 if BUILD_PLUGIN_UUID
1135 pkglib_LTLIBRARIES += uuid.la
1136 uuid_la_SOURCES = uuid.c
1137 uuid_la_CFLAGS  = $(AM_CFLAGS) $(BUILD_WITH_LIBHAL_CFLAGS)
1138 uuid_la_LIBADD  = $(BUILD_WITH_LIBHAL_LIBS)
1139 uuid_la_LDFLAGS = -module -avoid-version
1140 collectd_LDADD += "-dlopen" uuid.la
1141 collectd_DEPENDENCIES += uuid.la
1142 endif
1143
1144 if BUILD_PLUGIN_VMEM
1145 pkglib_LTLIBRARIES += vmem.la
1146 vmem_la_SOURCES = vmem.c
1147 vmem_la_LDFLAGS = -module -avoid-version
1148 collectd_LDADD += "-dlopen" vmem.la
1149 collectd_DEPENDENCIES += vmem.la
1150 endif
1151
1152 if BUILD_PLUGIN_VSERVER
1153 pkglib_LTLIBRARIES += vserver.la
1154 vserver_la_SOURCES = vserver.c
1155 vserver_la_LDFLAGS = -module -avoid-version
1156 collectd_LDADD += "-dlopen" vserver.la
1157 collectd_DEPENDENCIES += vserver.la
1158 endif
1159
1160 if BUILD_PLUGIN_WIRELESS
1161 pkglib_LTLIBRARIES += wireless.la
1162 wireless_la_SOURCES = wireless.c
1163 wireless_la_LDFLAGS = -module -avoid-version
1164 collectd_LDADD += "-dlopen" wireless.la
1165 collectd_DEPENDENCIES += wireless.la
1166 endif
1167
1168 if BUILD_PLUGIN_WRITE_HTTP
1169 pkglib_LTLIBRARIES += write_http.la
1170 write_http_la_SOURCES = write_http.c \
1171                         utils_format_json.c utils_format_json.h
1172 write_http_la_LDFLAGS = -module -avoid-version
1173 write_http_la_CFLAGS = $(AM_CFLAGS)
1174 write_http_la_LIBADD =
1175 collectd_LDADD += "-dlopen" write_http.la
1176 if BUILD_WITH_LIBCURL
1177 write_http_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
1178 write_http_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
1179 endif
1180 collectd_DEPENDENCIES += write_http.la
1181 endif
1182
1183 if BUILD_PLUGIN_XMMS
1184 pkglib_LTLIBRARIES += xmms.la
1185 xmms_la_SOURCES = xmms.c
1186 xmms_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBXMMS_CFLAGS)
1187 xmms_la_LDFLAGS = -module -avoid-version
1188 xmms_la_LIBADD = $(BUILD_WITH_LIBXMMS_LIBS)
1189 collectd_LDADD += "-dlopen" xmms.la
1190 collectd_DEPENDENCIES += xmms.la
1191 endif
1192
1193 if BUILD_PLUGIN_ZFS_ARC
1194 pkglib_LTLIBRARIES += zfs_arc.la
1195 zfs_arc_la_SOURCES = zfs_arc.c
1196 zfs_arc_la_CFLAGS = $(AM_CFLAGS)
1197 zfs_arc_la_LDFLAGS = -module -avoid-version
1198 zfs_arc_la_LIBADD = -lkstat
1199 collectd_LDADD += "-dlopen" zfs_arc.la
1200 collectd_DEPENDENCIES += zfs_arc.la
1201 endif
1202
1203 dist_man_MANS = collectd.1 \
1204                 collectd.conf.5 \
1205                 collectd-email.5 \
1206                 collectd-exec.5 \
1207                 collectd-java.5 \
1208                 collectdmon.1 \
1209                 collectd-nagios.1 \
1210                 collectd-perl.5 \
1211                 collectd-python.5 \
1212                 collectd-snmp.5 \
1213                 collectd-unixsock.5 \
1214                 types.db.5
1215
1216 #collectd_1_SOURCES = collectd.pod
1217
1218 EXTRA_DIST = types.db pinba.proto
1219
1220 EXTRA_DIST +=   collectd.conf.pod \
1221                 collectd-email.pod \
1222                 collectd-exec.pod \
1223                 collectd-java.pod \
1224                 collectdmon.pod \
1225                 collectd-nagios.pod \
1226                 collectd-perl.pod \
1227                 collectd-python.pod \
1228                 collectd.pod \
1229                 collectd-snmp.pod \
1230                 collectd-unixsock.pod \
1231                 postgresql_default.conf \
1232                 types.db.pod
1233
1234 .pod.1:
1235         pod2man --release=$(VERSION) --center=$(PACKAGE) $< \
1236                 >.pod2man.tmp.$$$$ 2>/dev/null && mv -f .pod2man.tmp.$$$$ $@ || true
1237         @if grep '\<POD ERRORS\>' $@ >/dev/null 2>&1; \
1238         then \
1239                 echo "$@ has some POD errors!"; false; \
1240         fi
1241
1242 .pod.5:
1243         pod2man --section=5 --release=$(VERSION) --center=$(PACKAGE) $< \
1244                 >.pod2man.tmp.$$$$ 2>/dev/null && mv -f .pod2man.tmp.$$$$ $@ || true
1245         @if grep '\<POD ERRORS\>' $@ >/dev/null 2>&1; \
1246         then \
1247                 echo "$@ has some POD errors!"; false; \
1248         fi
1249
1250 if HAVE_PROTOC_C
1251 pinba.pb-c.c pinba.pb-c.h: pinba.proto
1252         protoc-c --c_out $(builddir) pinba.proto
1253 endif
1254
1255 install-exec-hook:
1256         $(mkinstalldirs) $(DESTDIR)$(sysconfdir)
1257         if test -e $(DESTDIR)$(sysconfdir)/collectd.conf; \
1258         then \
1259                 $(INSTALL) -m 0640 collectd.conf $(DESTDIR)$(sysconfdir)/collectd.conf.pkg-orig; \
1260         else \
1261                 $(INSTALL) -m 0640 collectd.conf $(DESTDIR)$(sysconfdir)/collectd.conf; \
1262         fi; \
1263         $(mkinstalldirs) $(DESTDIR)$(pkgdatadir)
1264         $(INSTALL) -m 0644 $(srcdir)/types.db $(DESTDIR)$(pkgdatadir)/types.db;
1265         $(INSTALL) -m 0644 $(srcdir)/postgresql_default.conf \
1266                 $(DESTDIR)$(pkgdatadir)/postgresql_default.conf;