Build system: Add the PF plugin.
[collectd.git] / src / Makefile.am
1 SUBDIRS = libcollectdclient
2 if BUILD_WITH_OWN_LIBOCONFIG
3 SUBDIRS += liboconfig
4 endif
5
6 if COMPILER_IS_GCC
7 AM_CFLAGS = -Wall -Werror
8 endif
9
10 AM_CPPFLAGS = -DPREFIX='"${prefix}"'
11 AM_CPPFLAGS += -DCONFIGFILE='"${sysconfdir}/${PACKAGE_NAME}.conf"'
12 AM_CPPFLAGS += -DLOCALSTATEDIR='"${localstatedir}"'
13 AM_CPPFLAGS += -DPKGLOCALSTATEDIR='"${localstatedir}/lib/${PACKAGE_NAME}"'
14 if BUILD_FEATURE_DAEMON
15 AM_CPPFLAGS += -DPIDFILE='"${localstatedir}/run/${PACKAGE_NAME}.pid"'
16 endif
17 AM_CPPFLAGS += -DPLUGINDIR='"${pkglibdir}"'
18 AM_CPPFLAGS += -DPKGDATADIR='"${pkgdatadir}"'
19
20 sbin_PROGRAMS = collectd collectdmon
21 bin_PROGRAMS = collectd-nagios collectdctl
22
23 collectd_SOURCES = collectd.c collectd.h \
24                    common.c common.h \
25                    configfile.c configfile.h \
26                    filter_chain.c filter_chain.h \
27                    meta_data.c meta_data.h \
28                    plugin.c plugin.h \
29                    utils_avltree.c utils_avltree.h \
30                    utils_cache.c utils_cache.h \
31                    utils_complain.c utils_complain.h \
32                    utils_heap.c utils_heap.h \
33                    utils_ignorelist.c utils_ignorelist.h \
34                    utils_llist.c utils_llist.h \
35                    utils_parse_option.c utils_parse_option.h \
36                    utils_tail_match.c utils_tail_match.h \
37                    utils_match.c utils_match.h \
38                    utils_subst.c utils_subst.h \
39                    utils_tail.c utils_tail.h \
40                    utils_time.c utils_time.h \
41                    types_list.c types_list.h
42
43 collectd_CPPFLAGS =  $(AM_CPPFLAGS) $(LTDLINCL)
44 collectd_CFLAGS = $(AM_CFLAGS)
45 collectd_LDFLAGS = -export-dynamic
46 collectd_LDADD = -lm
47 collectd_DEPENDENCIES =
48
49 # Link to these libraries..
50 if BUILD_WITH_LIBRT
51 collectd_LDADD += -lrt
52 endif
53 if BUILD_WITH_LIBPOSIX4
54 collectd_LDADD += -lposix4
55 endif
56 if BUILD_WITH_LIBSOCKET
57 collectd_LDADD += -lsocket
58 endif
59 if BUILD_WITH_LIBRESOLV
60 collectd_LDADD += -lresolv
61 endif
62 if BUILD_WITH_LIBPTHREAD
63 collectd_LDADD += -lpthread
64 endif
65 if BUILD_WITH_LIBKSTAT
66 collectd_LDADD += -lkstat
67 endif
68 if BUILD_WITH_LIBDEVINFO
69 collectd_LDADD += -ldevinfo
70 endif
71 if BUILD_AIX
72 collectd_LDFLAGS += -Wl,-bexpall,-brtllib
73 endif
74
75 # The daemon needs to call sg_init, so we need to link it against libstatgrab,
76 # too. -octo
77 if BUILD_WITH_LIBSTATGRAB
78 collectd_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
79 collectd_LDADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
80 endif
81
82 if BUILD_WITH_OWN_LIBOCONFIG
83 collectd_LDADD += $(LIBLTDL) liboconfig/liboconfig.la
84 collectd_DEPENDENCIES += liboconfig/liboconfig.la
85 else
86 collectd_LDADD += -loconfig
87 endif
88
89 collectdmon_SOURCES = collectdmon.c
90 collectdmon_CPPFLAGS = $(AM_CPPFLAGS)
91
92 collectd_nagios_SOURCES = collectd-nagios.c
93 collectd_nagios_LDADD =
94 if BUILD_WITH_LIBSOCKET
95 collectd_nagios_LDADD += -lsocket
96 endif
97 if BUILD_AIX
98 collectd_nagios_LDADD += -lm
99 endif
100
101 collectd_nagios_LDADD += libcollectdclient/libcollectdclient.la
102 collectd_nagios_DEPENDENCIES = libcollectdclient/libcollectdclient.la
103
104
105 collectdctl_SOURCES = collectdctl.c
106 collectdctl_LDADD =
107 if BUILD_WITH_LIBSOCKET
108 collectdctl_LDADD += -lsocket
109 endif
110 if BUILD_AIX
111 collectdctl_LDADD += -lm
112 endif
113 collectdctl_LDADD += libcollectdclient/libcollectdclient.la
114 collectdctl_DEPENDENCIES = libcollectdclient/libcollectdclient.la
115
116
117 pkglib_LTLIBRARIES = 
118
119 BUILT_SOURCES = 
120 CLEANFILES = 
121
122 if BUILD_PLUGIN_AMQP
123 pkglib_LTLIBRARIES += amqp.la
124 amqp_la_SOURCES = amqp.c \
125                   utils_cmd_putval.c utils_cmd_putval.h \
126                   utils_format_graphite.c utils_format_graphite.h \
127                   utils_format_json.c utils_format_json.h
128 amqp_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBRABBITMQ_LDFLAGS)
129 amqp_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBRABBITMQ_CPPFLAGS)
130 amqp_la_LIBADD = $(BUILD_WITH_LIBRABBITMQ_LIBS)
131 collectd_LDADD += "-dlopen" amqp.la
132 collectd_DEPENDENCIES += amqp.la
133 endif
134
135 if BUILD_PLUGIN_APACHE
136 pkglib_LTLIBRARIES += apache.la
137 apache_la_SOURCES = apache.c
138 apache_la_LDFLAGS = -module -avoid-version
139 apache_la_CFLAGS = $(AM_CFLAGS)
140 apache_la_LIBADD =
141 collectd_LDADD += "-dlopen" apache.la
142 if BUILD_WITH_LIBCURL
143 apache_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
144 apache_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
145 endif
146 collectd_DEPENDENCIES += apache.la
147 endif
148
149 if BUILD_PLUGIN_APCUPS
150 pkglib_LTLIBRARIES += apcups.la
151 apcups_la_SOURCES = apcups.c
152 apcups_la_LDFLAGS = -module -avoid-version
153 apcups_la_LIBADD =
154 if BUILD_WITH_LIBSOCKET
155 apcups_la_LIBADD += -lsocket
156 endif
157 collectd_LDADD += "-dlopen" apcups.la
158 collectd_DEPENDENCIES += apcups.la
159 endif
160
161 if BUILD_PLUGIN_APPLE_SENSORS
162 pkglib_LTLIBRARIES += apple_sensors.la
163 apple_sensors_la_SOURCES = apple_sensors.c
164 apple_sensors_la_LDFLAGS = -module -avoid-version
165 apple_sensors_la_LIBADD = -lIOKit
166 collectd_LDADD += "-dlopen" apple_sensors.la
167 collectd_DEPENDENCIES += apple_sensors.la
168 endif
169
170 if BUILD_PLUGIN_ASCENT
171 pkglib_LTLIBRARIES += ascent.la
172 ascent_la_SOURCES = ascent.c
173 ascent_la_LDFLAGS = -module -avoid-version
174 ascent_la_CFLAGS = $(AM_CFLAGS) \
175                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
176 ascent_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
177 collectd_LDADD += "-dlopen" ascent.la
178 collectd_DEPENDENCIES += ascent.la
179 endif
180
181 if BUILD_PLUGIN_BATTERY
182 pkglib_LTLIBRARIES += battery.la
183 battery_la_SOURCES = battery.c
184 battery_la_LDFLAGS = -module -avoid-version
185 battery_la_LIBADD =
186 if BUILD_WITH_LIBIOKIT
187 battery_la_LIBADD += -lIOKit
188 endif
189 collectd_LDADD += "-dlopen" battery.la
190 collectd_DEPENDENCIES += battery.la
191 endif
192
193 if BUILD_PLUGIN_BIND
194 pkglib_LTLIBRARIES += bind.la
195 bind_la_SOURCES = bind.c
196 bind_la_LDFLAGS = -module -avoid-version
197 bind_la_CFLAGS = $(AM_CFLAGS) \
198                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
199 bind_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
200 collectd_LDADD += "-dlopen" bind.la
201 collectd_DEPENDENCIES += bind.la
202 endif
203
204 if BUILD_PLUGIN_CONNTRACK
205 pkglib_LTLIBRARIES += conntrack.la
206 conntrack_la_SOURCES = conntrack.c
207 conntrack_la_LDFLAGS = -module -avoid-version
208 collectd_LDADD += "-dlopen" conntrack.la
209 collectd_DEPENDENCIES += conntrack.la
210 endif
211
212 if BUILD_PLUGIN_CONTEXTSWITCH
213 pkglib_LTLIBRARIES += contextswitch.la
214 contextswitch_la_SOURCES = contextswitch.c
215 contextswitch_la_LDFLAGS = -module -avoid-version
216 collectd_LDADD += "-dlopen" contextswitch.la
217 collectd_DEPENDENCIES += contextswitch.la
218 endif
219
220 if BUILD_PLUGIN_CPU
221 pkglib_LTLIBRARIES += cpu.la
222 cpu_la_SOURCES = cpu.c
223 cpu_la_CFLAGS = $(AM_CFLAGS)
224 cpu_la_LDFLAGS = -module -avoid-version
225 cpu_la_LIBADD = 
226 if BUILD_WITH_LIBKSTAT
227 cpu_la_LIBADD += -lkstat
228 endif
229 if BUILD_WITH_LIBDEVINFO
230 cpu_la_LIBADD += -ldevinfo
231 endif
232 if BUILD_WITH_LIBSTATGRAB
233 cpu_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
234 cpu_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
235 endif
236 if BUILD_WITH_PERFSTAT
237 cpu_la_LIBADD += -lperfstat
238 endif
239 collectd_LDADD += "-dlopen" cpu.la
240 collectd_DEPENDENCIES += cpu.la
241 endif
242
243 if BUILD_PLUGIN_CPUFREQ
244 pkglib_LTLIBRARIES += cpufreq.la
245 cpufreq_la_SOURCES = cpufreq.c
246 cpufreq_la_LDFLAGS = -module -avoid-version
247 collectd_LDADD += "-dlopen" cpufreq.la
248 collectd_DEPENDENCIES += cpufreq.la
249 endif
250
251 if BUILD_PLUGIN_CSV
252 pkglib_LTLIBRARIES += csv.la
253 csv_la_SOURCES = csv.c
254 csv_la_LDFLAGS = -module -avoid-version
255 collectd_LDADD += "-dlopen" csv.la
256 collectd_DEPENDENCIES += csv.la
257 endif
258
259 if BUILD_PLUGIN_CURL
260 pkglib_LTLIBRARIES += curl.la
261 curl_la_SOURCES = curl.c
262 curl_la_LDFLAGS = -module -avoid-version
263 curl_la_CFLAGS = $(AM_CFLAGS)
264 curl_la_LIBADD =
265 collectd_LDADD += "-dlopen" curl.la
266 if BUILD_WITH_LIBCURL
267 curl_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
268 curl_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
269 endif
270 collectd_DEPENDENCIES += curl.la
271 endif
272
273 if BUILD_PLUGIN_CURL_JSON
274 pkglib_LTLIBRARIES += curl_json.la
275 curl_json_la_SOURCES = curl_json.c
276 curl_json_la_CFLAGS = $(AM_CFLAGS)
277 curl_json_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBYAJL_LDFLAGS)
278 curl_json_la_CPPFLAGS = $(BUILD_WITH_LIBYAJL_CPPFLAGS)
279 curl_json_la_LIBADD = $(BUILD_WITH_LIBYAJL_LIBS)
280 if BUILD_WITH_LIBCURL
281 curl_json_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
282 curl_json_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
283 endif
284 collectd_LDADD += "-dlopen" curl_json.la
285 collectd_DEPENDENCIES += curl_json.la
286 endif
287
288 if BUILD_PLUGIN_CURL_XML
289 pkglib_LTLIBRARIES += curl_xml.la
290 curl_xml_la_SOURCES = curl_xml.c
291 curl_xml_la_LDFLAGS = -module -avoid-version
292 curl_xml_la_CFLAGS = $(AM_CFLAGS) \
293                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
294 curl_xml_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
295 collectd_LDADD += "-dlopen" curl_xml.la
296 collectd_DEPENDENCIES += curl_xml.la
297 endif
298
299 if BUILD_PLUGIN_DBI
300 pkglib_LTLIBRARIES += dbi.la
301 dbi_la_SOURCES = dbi.c \
302                  utils_db_query.c utils_db_query.h
303 dbi_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBDBI_CPPFLAGS)
304 dbi_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBDBI_LDFLAGS)
305 dbi_la_LIBADD = $(BUILD_WITH_LIBDBI_LIBS)
306 collectd_LDADD += "-dlopen" dbi.la
307 collectd_DEPENDENCIES += dbi.la
308 endif
309
310 if BUILD_PLUGIN_DF
311 pkglib_LTLIBRARIES += df.la
312 df_la_SOURCES = df.c utils_mount.c utils_mount.h
313 df_la_LDFLAGS = -module -avoid-version
314 collectd_LDADD += "-dlopen" df.la
315 collectd_DEPENDENCIES += df.la
316 endif
317
318 if BUILD_PLUGIN_DISK
319 pkglib_LTLIBRARIES += disk.la
320 disk_la_SOURCES = disk.c
321 disk_la_CFLAGS = $(AM_CFLAGS)
322 disk_la_LDFLAGS = -module -avoid-version
323 disk_la_LIBADD = 
324 if BUILD_WITH_LIBKSTAT
325 disk_la_LIBADD += -lkstat
326 endif
327 if BUILD_WITH_LIBDEVINFO
328 disk_la_LIBADD += -ldevinfo
329 endif
330 if BUILD_WITH_LIBIOKIT
331 disk_la_LIBADD += -lIOKit
332 endif
333 if BUILD_WITH_LIBSTATGRAB
334 disk_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)  
335 disk_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
336 endif
337 if BUILD_WITH_PERFSTAT
338 disk_la_LIBADD += -lperfstat
339 endif
340 collectd_LDADD += "-dlopen" disk.la
341 collectd_DEPENDENCIES += disk.la
342 endif
343
344 if BUILD_PLUGIN_DNS
345 pkglib_LTLIBRARIES += dns.la
346 dns_la_SOURCES = dns.c utils_dns.c utils_dns.h
347 dns_la_LDFLAGS = -module -avoid-version
348 dns_la_LIBADD = -lpcap -lpthread
349 collectd_LDADD += "-dlopen" dns.la
350 collectd_DEPENDENCIES += dns.la
351 endif
352
353 if BUILD_PLUGIN_EMAIL
354 pkglib_LTLIBRARIES += email.la
355 email_la_SOURCES = email.c
356 email_la_LDFLAGS = -module -avoid-version
357 email_la_LIBADD = -lpthread
358 collectd_LDADD += "-dlopen" email.la
359 collectd_DEPENDENCIES += email.la
360 endif
361
362 if BUILD_PLUGIN_ENTROPY
363 pkglib_LTLIBRARIES += entropy.la
364 entropy_la_SOURCES = entropy.c
365 entropy_la_LDFLAGS = -module -avoid-version
366 collectd_LDADD += "-dlopen" entropy.la
367 collectd_DEPENDENCIES += entropy.la
368 endif
369
370 if BUILD_PLUGIN_EXEC
371 pkglib_LTLIBRARIES += exec.la
372 exec_la_SOURCES = exec.c \
373                   utils_cmd_putnotif.c utils_cmd_putnotif.h \
374                   utils_cmd_putval.c utils_cmd_putval.h
375 exec_la_LDFLAGS = -module -avoid-version
376 exec_la_LIBADD = -lpthread
377 collectd_LDADD += "-dlopen" exec.la
378 collectd_DEPENDENCIES += exec.la
379 endif
380
381 if BUILD_PLUGIN_ETHSTAT
382 pkglib_LTLIBRARIES += ethstat.la
383 ethstat_la_SOURCES = ethstat.c
384 ethstat_la_LDFLAGS = -module -avoid-version
385 collectd_LDADD += "-dlopen" ethstat.la
386 collectd_DEPENDENCIES += ethstat.la
387 endif
388
389 if BUILD_PLUGIN_FILECOUNT
390 pkglib_LTLIBRARIES += filecount.la
391 filecount_la_SOURCES = filecount.c
392 filecount_la_LDFLAGS = -module -avoid-version
393 collectd_LDADD += "-dlopen" filecount.la
394 collectd_DEPENDENCIES += filecount.la
395 endif
396
397 if BUILD_PLUGIN_GMOND
398 pkglib_LTLIBRARIES += gmond.la
399 gmond_la_SOURCES = gmond.c
400 gmond_la_CPPFLAGS = $(AM_CPPFLAGS) $(GANGLIA_CPPFLAGS)
401 gmond_la_LDFLAGS = -module -avoid-version $(GANGLIA_LDFLAGS)
402 gmond_la_LIBADD = $(GANGLIA_LIBS)
403 collectd_LDADD += "-dlopen" gmond.la
404 collectd_DEPENDENCIES += gmond.la
405 endif
406
407 if BUILD_PLUGIN_HDDTEMP
408 pkglib_LTLIBRARIES += hddtemp.la
409 hddtemp_la_SOURCES = hddtemp.c
410 hddtemp_la_LDFLAGS = -module -avoid-version
411 hddtemp_la_LIBADD =
412 if BUILD_WITH_LIBSOCKET
413 hddtemp_la_LIBADD += -lsocket
414 endif
415 collectd_LDADD += "-dlopen" hddtemp.la
416 collectd_DEPENDENCIES += hddtemp.la
417 endif
418
419 if BUILD_PLUGIN_INTERFACE
420 pkglib_LTLIBRARIES += interface.la
421 interface_la_SOURCES = interface.c
422 interface_la_CFLAGS = $(AM_CFLAGS)
423 interface_la_LDFLAGS = -module -avoid-version
424 interface_la_LIBADD =
425 collectd_LDADD += "-dlopen" interface.la
426 collectd_DEPENDENCIES += interface.la
427 if BUILD_WITH_LIBSTATGRAB
428 interface_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
429 interface_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
430 else
431 if BUILD_WITH_LIBKSTAT
432 interface_la_LIBADD += -lkstat
433 endif
434 if BUILD_WITH_LIBDEVINFO
435 interface_la_LIBADD += -ldevinfo
436 endif # BUILD_WITH_LIBDEVINFO
437 endif # !BUILD_WITH_LIBSTATGRAB
438 if BUILD_WITH_PERFSTAT
439 interface_la_LIBADD += -lperfstat
440 endif
441 endif # BUILD_PLUGIN_INTERFACE
442
443 if BUILD_PLUGIN_IPTABLES
444 pkglib_LTLIBRARIES += iptables.la
445 iptables_la_SOURCES = iptables.c
446 iptables_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBIPTC_CPPFLAGS)
447 iptables_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBIPTC_LDFLAGS)
448 iptables_la_LIBADD = -liptc
449 collectd_LDADD += "-dlopen" iptables.la
450 collectd_DEPENDENCIES += iptables.la
451 endif
452
453 if BUILD_PLUGIN_IPMI
454 pkglib_LTLIBRARIES += ipmi.la
455 ipmi_la_SOURCES = ipmi.c
456 ipmi_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_OPENIPMI_CFLAGS)
457 ipmi_la_LDFLAGS = -module -avoid-version
458 ipmi_la_LIBADD = $(BUILD_WITH_OPENIPMI_LIBS)
459 collectd_LDADD += "-dlopen" ipmi.la
460 collectd_DEPENDENCIES += ipmi.la
461 endif
462
463 if BUILD_PLUGIN_IPVS
464 pkglib_LTLIBRARIES += ipvs.la
465 ipvs_la_SOURCES = ipvs.c
466 if IP_VS_H_NEEDS_KERNEL_CFLAGS
467 ipvs_la_CFLAGS = $(AM_CFLAGS) $(KERNEL_CFLAGS)
468 endif
469 ipvs_la_LDFLAGS = -module -avoid-version
470 collectd_LDADD += "-dlopen" ipvs.la
471 collectd_DEPENDENCIES += ipvs.la
472 endif
473
474 if BUILD_PLUGIN_IRQ
475 pkglib_LTLIBRARIES += irq.la
476 irq_la_SOURCES = irq.c
477 irq_la_LDFLAGS = -module -avoid-version
478 collectd_LDADD += "-dlopen" irq.la
479 collectd_DEPENDENCIES += irq.la
480 endif
481
482 if BUILD_PLUGIN_JAVA
483 pkglib_LTLIBRARIES += java.la
484 java_la_SOURCES = java.c
485 java_la_CPPFLAGS = $(AM_CPPFLAGS) $(JAVA_CPPFLAGS)
486 java_la_CFLAGS = $(AM_CFLAGS) $(JAVA_CFLAGS)
487 java_la_LDFLAGS = -module -avoid-version $(JAVA_LDFLAGS)
488 java_la_LIBADD = $(JAVA_LIBS)
489 collectd_LDADD += "-dlopen" java.la
490 collectd_DEPENDENCIES += java.la
491 endif
492
493 if BUILD_PLUGIN_LIBVIRT
494 pkglib_LTLIBRARIES += libvirt.la
495 libvirt_la_SOURCES = libvirt.c
496 libvirt_la_CFLAGS = $(AM_CFLAGS) \
497                 $(BUILD_WITH_LIBVIRT_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
498 libvirt_la_LIBADD = $(BUILD_WITH_LIBVIRT_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
499 libvirt_la_LDFLAGS = -module -avoid-version
500 collectd_LDADD += "-dlopen" libvirt.la
501 collectd_DEPENDENCIES += libvirt.la
502 endif
503
504 if BUILD_PLUGIN_LOAD
505 pkglib_LTLIBRARIES += load.la
506 load_la_SOURCES = load.c
507 load_la_CFLAGS = $(AM_CFLAGS)
508 load_la_LDFLAGS = -module -avoid-version
509 load_la_LIBADD =
510 collectd_LDADD += "-dlopen" load.la
511 collectd_DEPENDENCIES += load.la
512 if BUILD_WITH_LIBSTATGRAB
513 load_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
514 load_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
515 endif # BUILD_WITH_LIBSTATGRAB
516 if BUILD_WITH_PERFSTAT
517 load_la_LIBADD += -lperfstat
518 endif
519 endif # BUILD_PLUGIN_LOAD
520
521 if BUILD_PLUGIN_LOGFILE
522 pkglib_LTLIBRARIES += logfile.la
523 logfile_la_SOURCES = logfile.c
524 logfile_la_LDFLAGS = -module -avoid-version
525 collectd_LDADD += "-dlopen" logfile.la
526 collectd_DEPENDENCIES += logfile.la
527 endif
528
529 if BUILD_PLUGIN_LPAR
530 pkglib_LTLIBRARIES += lpar.la
531 lpar_la_SOURCES = lpar.c
532 lpar_la_LDFLAGS = -module -avoid-version
533 collectd_LDADD += "-dlopen" lpar.la
534 collectd_DEPENDENCIES += lpar.la
535 lpar_la_LIBADD = -lperfstat
536 endif
537
538 if BUILD_PLUGIN_MADWIFI
539 pkglib_LTLIBRARIES += madwifi.la
540 madwifi_la_SOURCES = madwifi.c madwifi.h
541 madwifi_la_LDFLAGS = -module -avoid-version
542 collectd_LDADD += "-dlopen" madwifi.la
543 collectd_DEPENDENCIES += madwifi.la
544 endif
545
546 if BUILD_PLUGIN_MATCH_EMPTY_COUNTER
547 pkglib_LTLIBRARIES += match_empty_counter.la
548 match_empty_counter_la_SOURCES = match_empty_counter.c
549 match_empty_counter_la_LDFLAGS = -module -avoid-version
550 collectd_LDADD += "-dlopen" match_empty_counter.la
551 collectd_DEPENDENCIES += match_empty_counter.la
552 endif
553
554 if BUILD_PLUGIN_MATCH_HASHED
555 pkglib_LTLIBRARIES += match_hashed.la
556 match_hashed_la_SOURCES = match_hashed.c
557 match_hashed_la_LDFLAGS = -module -avoid-version
558 collectd_LDADD += "-dlopen" match_hashed.la
559 collectd_DEPENDENCIES += match_hashed.la
560 endif
561
562 if BUILD_PLUGIN_MATCH_REGEX
563 pkglib_LTLIBRARIES += match_regex.la
564 match_regex_la_SOURCES = match_regex.c
565 match_regex_la_LDFLAGS = -module -avoid-version
566 collectd_LDADD += "-dlopen" match_regex.la
567 collectd_DEPENDENCIES += match_regex.la
568 endif
569
570 if BUILD_PLUGIN_MATCH_TIMEDIFF
571 pkglib_LTLIBRARIES += match_timediff.la
572 match_timediff_la_SOURCES = match_timediff.c
573 match_timediff_la_LDFLAGS = -module -avoid-version
574 collectd_LDADD += "-dlopen" match_timediff.la
575 collectd_DEPENDENCIES += match_timediff.la
576 endif
577
578 if BUILD_PLUGIN_MATCH_VALUE
579 pkglib_LTLIBRARIES += match_value.la
580 match_value_la_SOURCES = match_value.c
581 match_value_la_LDFLAGS = -module -avoid-version
582 collectd_LDADD += "-dlopen" match_value.la
583 collectd_DEPENDENCIES += match_value.la
584 endif
585
586 if BUILD_PLUGIN_MBMON
587 pkglib_LTLIBRARIES += mbmon.la
588 mbmon_la_SOURCES = mbmon.c
589 mbmon_la_LDFLAGS = -module -avoid-version
590 mbmon_la_LIBADD =
591 if BUILD_WITH_LIBSOCKET
592 mbmon_la_LIBADD += -lsocket
593 endif
594 collectd_LDADD += "-dlopen" mbmon.la
595 collectd_DEPENDENCIES += mbmon.la
596 endif
597
598 if BUILD_PLUGIN_MD
599 pkglib_LTLIBRARIES += md.la
600 md_la_SOURCES = md.c
601 md_la_LDFLAGS = -module -avoid-version
602 collectd_LDADD += "-dlopen" md.la
603 collectd_DEPENDENCIES += md.la
604 endif
605
606 if BUILD_PLUGIN_MEMCACHEC
607 pkglib_LTLIBRARIES += memcachec.la
608 memcachec_la_SOURCES = memcachec.c
609 memcachec_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBMEMCACHED_LDFLAGS)
610 memcachec_la_CPPFLAGS = $(BUILD_WITH_LIBMEMCACHED_CPPFLAGS)
611 memcachec_la_LIBADD = $(BUILD_WITH_LIBMEMCACHED_LIBS)
612 collectd_LDADD += "-dlopen" memcachec.la
613 collectd_DEPENDENCIES += memcachec.la
614 endif
615
616 if BUILD_PLUGIN_MEMCACHED
617 pkglib_LTLIBRARIES += memcached.la
618 memcached_la_SOURCES = memcached.c
619 memcached_la_LDFLAGS = -module -avoid-version
620 memcached_la_LIBADD =
621 if BUILD_WITH_LIBSOCKET
622 memcached_la_LIBADD += -lsocket
623 endif
624 collectd_LDADD += "-dlopen" memcached.la
625 collectd_DEPENDENCIES += memcached.la
626 endif
627
628 if BUILD_PLUGIN_MEMORY
629 pkglib_LTLIBRARIES += memory.la
630 memory_la_SOURCES = memory.c
631 memory_la_CFLAGS = $(AM_CFLAGS)
632 memory_la_LDFLAGS = -module -avoid-version
633 memory_la_LIBADD =
634 collectd_LDADD += "-dlopen" memory.la
635 collectd_DEPENDENCIES += memory.la
636 if BUILD_WITH_LIBKSTAT
637 memory_la_LIBADD += -lkstat
638 endif
639 if BUILD_WITH_LIBDEVINFO
640 memory_la_LIBADD += -ldevinfo
641 endif
642 if BUILD_WITH_LIBSTATGRAB
643 memory_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
644 memory_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
645 endif
646 if BUILD_WITH_PERFSTAT
647 memory_la_LIBADD += -lperfstat
648 endif
649 endif
650
651 if BUILD_PLUGIN_MODBUS
652 pkglib_LTLIBRARIES += modbus.la
653 modbus_la_SOURCES = modbus.c
654 modbus_la_LDFLAGS = -module -avoid-version
655 modbus_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBMODBUS_CFLAGS)
656 modbus_la_LIBADD = $(BUILD_WITH_LIBMODBUS_LIBS)
657 collectd_LDADD += "-dlopen" modbus.la
658 collectd_DEPENDENCIES += modbus.la
659 endif
660
661 if BUILD_PLUGIN_MULTIMETER
662 pkglib_LTLIBRARIES += multimeter.la
663 multimeter_la_SOURCES = multimeter.c
664 multimeter_la_LDFLAGS = -module -avoid-version
665 collectd_LDADD += "-dlopen" multimeter.la
666 collectd_DEPENDENCIES += multimeter.la
667 endif
668
669 if BUILD_PLUGIN_MYSQL
670 pkglib_LTLIBRARIES += mysql.la
671 mysql_la_SOURCES = mysql.c
672 mysql_la_LDFLAGS = -module -avoid-version
673 mysql_la_CFLAGS = $(AM_CFLAGS)
674 mysql_la_LIBADD =
675 collectd_LDADD += "-dlopen" mysql.la
676 if BUILD_WITH_LIBMYSQL
677 mysql_la_CFLAGS += $(BUILD_WITH_LIBMYSQL_CFLAGS)
678 mysql_la_LIBADD += $(BUILD_WITH_LIBMYSQL_LIBS)
679 endif
680 collectd_DEPENDENCIES += mysql.la
681 endif
682
683 if BUILD_PLUGIN_NETAPP
684 pkglib_LTLIBRARIES += netapp.la
685 netapp_la_SOURCES = netapp.c
686 netapp_la_CPPFLAGS = $(AM_CPPFLAGS) $(LIBNETAPP_CPPFLAGS)
687 netapp_la_LDFLAGS = -module -avoid-version $(LIBNETAPP_LDFLAGS)
688 netapp_la_LIBADD = $(LIBNETAPP_LIBS)
689 collectd_LDADD += "-dlopen" netapp.la
690 collectd_DEPENDENCIES += netapp.la
691 endif
692
693 if BUILD_PLUGIN_NETLINK
694 pkglib_LTLIBRARIES += netlink.la
695 netlink_la_SOURCES = netlink.c
696 netlink_la_LDFLAGS = -module -avoid-version
697 netlink_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBNETLINK_CFLAGS)
698 netlink_la_LIBADD = $(BUILD_WITH_LIBNETLINK_LIBS)
699 collectd_LDADD += "-dlopen" netlink.la
700 collectd_DEPENDENCIES += netlink.la
701 endif
702
703 if BUILD_PLUGIN_NETWORK
704 pkglib_LTLIBRARIES += network.la
705 network_la_SOURCES = network.c network.h \
706                      utils_fbhash.c utils_fbhash.h
707 network_la_CPPFLAGS = $(AM_CPPFLAGS)
708 network_la_LDFLAGS = -module -avoid-version
709 network_la_LIBADD = -lpthread
710 if BUILD_WITH_LIBSOCKET
711 network_la_LIBADD += -lsocket
712 endif
713 if BUILD_WITH_LIBGCRYPT
714 network_la_CPPFLAGS += $(GCRYPT_CPPFLAGS)
715 network_la_LDFLAGS += $(GCRYPT_LDFLAGS)
716 network_la_LIBADD += $(GCRYPT_LIBS)
717 endif
718 collectd_LDADD += "-dlopen" network.la
719 collectd_DEPENDENCIES += network.la
720 endif
721
722 if BUILD_PLUGIN_NFS
723 pkglib_LTLIBRARIES += nfs.la
724 nfs_la_SOURCES = nfs.c
725 nfs_la_LDFLAGS = -module -avoid-version
726 collectd_LDADD += "-dlopen" nfs.la
727 collectd_DEPENDENCIES += nfs.la
728 endif
729
730 if BUILD_PLUGIN_FSCACHE
731 pkglib_LTLIBRARIES += fscache.la
732 fscache_la_SOURCES = fscache.c
733 fscache_la_LDFLAGS = -module -avoid-version
734 collectd_LDADD += "-dlopen" fscache.la
735 collectd_DEPENDENCIES += fscache.la
736 endif
737
738 if BUILD_PLUGIN_NGINX
739 pkglib_LTLIBRARIES += nginx.la
740 nginx_la_SOURCES = nginx.c
741 nginx_la_CFLAGS = $(AM_CFLAGS)
742 nginx_la_LIBADD =
743 nginx_la_LDFLAGS = -module -avoid-version
744 if BUILD_WITH_LIBCURL
745 nginx_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
746 nginx_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
747 endif
748 collectd_LDADD += "-dlopen" nginx.la
749 collectd_DEPENDENCIES += nginx.la
750 endif
751
752 if BUILD_PLUGIN_NOTIFY_DESKTOP
753 pkglib_LTLIBRARIES += notify_desktop.la
754 notify_desktop_la_SOURCES = notify_desktop.c
755 notify_desktop_la_CFLAGS = $(AM_CFLAGS) $(LIBNOTIFY_CFLAGS)
756 notify_desktop_la_LDFLAGS = -module -avoid-version
757 notify_desktop_la_LIBADD = $(LIBNOTIFY_LIBS)
758 collectd_LDADD += "-dlopen" notify_desktop.la
759 collectd_DEPENDENCIES += notify_desktop.la
760 endif
761
762 if BUILD_PLUGIN_NOTIFY_EMAIL
763 pkglib_LTLIBRARIES += notify_email.la
764 notify_email_la_SOURCES = notify_email.c
765 notify_email_la_LDFLAGS = -module -avoid-version
766 notify_email_la_LIBADD = -lesmtp -lssl -lcrypto -lpthread -ldl
767 collectd_LDADD += "-dlopen" notify_email.la
768 collectd_DEPENDENCIES += notify_email.la
769 endif
770
771 if BUILD_PLUGIN_NTPD
772 pkglib_LTLIBRARIES += ntpd.la
773 ntpd_la_SOURCES = ntpd.c
774 ntpd_la_LDFLAGS = -module -avoid-version
775 ntpd_la_LIBADD =
776 if BUILD_WITH_LIBSOCKET
777 ntpd_la_LIBADD += -lsocket
778 endif
779 collectd_LDADD += "-dlopen" ntpd.la
780 collectd_DEPENDENCIES += ntpd.la
781 endif
782
783 if BUILD_PLUGIN_NUMA
784 pkglib_LTLIBRARIES += numa.la
785 numa_la_SOURCES = numa.c
786 numa_la_LDFLAGS = -module -avoid-version
787 collectd_LDADD += "-dlopen" numa.la
788 collectd_DEPENDENCIES += numa.la
789 endif
790
791 if BUILD_PLUGIN_NUT
792 pkglib_LTLIBRARIES += nut.la
793 nut_la_SOURCES = nut.c
794 nut_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBUPSCLIENT_CFLAGS)
795 nut_la_LDFLAGS = -module -avoid-version
796 nut_la_LIBADD = -lpthread $(BUILD_WITH_LIBUPSCLIENT_LIBS)
797 collectd_LDADD += "-dlopen" nut.la
798 collectd_DEPENDENCIES += nut.la
799 endif
800
801 if BUILD_PLUGIN_OLSRD
802 pkglib_LTLIBRARIES += olsrd.la
803 olsrd_la_SOURCES = olsrd.c
804 olsrd_la_LDFLAGS = -module -avoid-version
805 olsrd_la_LIBADD = 
806 if BUILD_WITH_LIBSOCKET
807 olsrd_la_LIBADD += -lsocket
808 endif
809 collectd_LDADD += "-dlopen" olsrd.la
810 collectd_DEPENDENCIES += olsrd.la
811 endif
812
813 if BUILD_PLUGIN_ONEWIRE
814 pkglib_LTLIBRARIES += onewire.la
815 onewire_la_SOURCES = onewire.c
816 onewire_la_CFLAGS = $(AM_CFLAGS)
817 onewire_la_CPPFLAGS = $(BUILD_WITH_LIBOWCAPI_CPPFLAGS)
818 onewire_la_LIBADD = $(BUILD_WITH_LIBOWCAPI_LIBS)
819 onewire_la_LDFLAGS = -module -avoid-version
820 collectd_LDADD += "-dlopen" onewire.la
821 collectd_DEPENDENCIES += onewire.la
822 endif
823
824 if BUILD_PLUGIN_OPENVPN
825 pkglib_LTLIBRARIES += openvpn.la
826 openvpn_la_SOURCES = openvpn.c
827 openvpn_la_CFLAGS = $(AM_CFLAGS)
828 openvpn_la_LDFLAGS = -module -avoid-version
829 collectd_LDADD += "-dlopen" openvpn.la
830 collectd_DEPENDENCIES += openvpn.la
831 endif
832
833 if BUILD_PLUGIN_ORACLE
834 pkglib_LTLIBRARIES += oracle.la
835 oracle_la_SOURCES = oracle.c \
836         utils_db_query.c utils_db_query.h
837 oracle_la_CFLAGS = $(AM_CFLAGS)
838 oracle_la_CPPFLAGS = $(BUILD_WITH_ORACLE_CFLAGS)
839 oracle_la_LIBADD = $(BUILD_WITH_ORACLE_LIBS)
840 oracle_la_LDFLAGS = -module -avoid-version
841 collectd_LDADD += "-dlopen" oracle.la
842 collectd_DEPENDENCIES += oracle.la
843 endif
844
845 if BUILD_PLUGIN_PERL
846 pkglib_LTLIBRARIES += perl.la
847 perl_la_SOURCES = perl.c
848 # Despite C99 providing the "bool" type thru stdbool.h, Perl defines its own
849 # version of that type if HAS_BOOL is not defined... *sigh*
850 perl_la_CPPFLAGS = $(AM_CPPFLAGS) -DHAS_BOOL=1
851 perl_la_CFLAGS  = $(AM_CFLAGS) \
852                 $(PERL_CFLAGS) \
853                 -DXS_VERSION=\"$(VERSION)\" -DVERSION=\"$(VERSION)\"
854 # Work-around for issues #41 and #42 - Perl 5.10 incorrectly introduced
855 # __attribute__nonnull__(3) for Perl_load_module().
856 if HAVE_BROKEN_PERL_LOAD_MODULE
857 perl_la_CFLAGS += -Wno-nonnull
858 endif
859 perl_la_LDFLAGS = -module -avoid-version \
860                 $(PERL_LDFLAGS)
861 collectd_LDADD += "-dlopen" perl.la
862 collectd_DEPENDENCIES += perl.la
863 endif
864
865 if BUILD_PLUGIN_PF
866 pkglib_LTLIBRARIES += pf.la
867 pf_la_SOURCES = pf.c
868 pf_la_LDFLAGS = -module -avoid-version
869 collectd_LDADD += "-dlopen" pf.la
870 collectd_DEPENDENCIES += pf.la
871 endif
872
873 if BUILD_PLUGIN_PINBA
874 BUILT_SOURCES += pinba.pb-c.c pinba.pb-c.h
875 CLEANFILES += pinba.pb-c.c pinba.pb-c.h
876 pkglib_LTLIBRARIES += pinba.la
877 pinba_la_SOURCES = pinba.c
878 pinba_la_LDFLAGS = -module -avoid-version
879 pinba_la_LIBADD = -lprotobuf-c
880 collectd_LDADD += "-dlopen" pinba.la
881 collectd_DEPENDENCIES += pinba.la
882 endif
883
884 if BUILD_PLUGIN_PING
885 pkglib_LTLIBRARIES += ping.la
886 ping_la_SOURCES = ping.c
887 ping_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBOPING_CPPFLAGS)
888 ping_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBOPING_LDFLAGS)
889 ping_la_LIBADD = -loping -lm
890 collectd_LDADD += "-dlopen" ping.la
891 collectd_DEPENDENCIES += ping.la
892 endif
893
894 if BUILD_PLUGIN_POSTGRESQL
895 pkglib_LTLIBRARIES += postgresql.la
896 postgresql_la_SOURCES = postgresql.c \
897                  utils_db_query.c utils_db_query.h
898 postgresql_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBPQ_CPPFLAGS)
899 postgresql_la_LDFLAGS = -module -avoid-version \
900                 $(BUILD_WITH_LIBPQ_LDFLAGS)
901 postgresql_la_LIBADD = -lpq
902 collectd_LDADD += "-dlopen" postgresql.la
903 collectd_DEPENDENCIES += postgresql.la
904 endif
905
906 if BUILD_PLUGIN_POWERDNS
907 pkglib_LTLIBRARIES += powerdns.la
908 powerdns_la_SOURCES = powerdns.c
909 powerdns_la_LDFLAGS = -module -avoid-version
910 collectd_LDADD += "-dlopen" powerdns.la
911 collectd_DEPENDENCIES += powerdns.la
912 endif
913
914 if BUILD_PLUGIN_PYTHON
915 pkglib_LTLIBRARIES += python.la
916 python_la_SOURCES = python.c pyconfig.c pyvalues.c cpython.h
917 python_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_PYTHON_CPPFLAGS)
918 python_la_CFLAGS = $(AM_CFLAGS)
919 if COMPILER_IS_GCC
920 python_la_CFLAGS += -fno-strict-aliasing -Wno-strict-aliasing
921 endif
922 python_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_PYTHON_LDFLAGS)
923 python_la_LIBADD = $(BUILD_WITH_PYTHON_LIBS)
924 collectd_LDADD += "-dlopen" python.la
925 collectd_DEPENDENCIES += python.la
926 endif
927
928 if BUILD_PLUGIN_PROCESSES
929 pkglib_LTLIBRARIES += processes.la
930 processes_la_SOURCES = processes.c
931 processes_la_LDFLAGS = -module -avoid-version
932 processes_la_LIBADD =
933 collectd_LDADD += "-dlopen" processes.la
934 collectd_DEPENDENCIES += processes.la
935 if BUILD_WITH_LIBKVM_GETPROCS
936 processes_la_LIBADD += -lkvm
937 endif
938 endif
939
940 if BUILD_PLUGIN_PROTOCOLS
941 pkglib_LTLIBRARIES += protocols.la
942 protocols_la_SOURCES = protocols.c
943 protocols_la_LDFLAGS = -module -avoid-version
944 collectd_LDADD += "-dlopen" protocols.la
945 collectd_DEPENDENCIES += protocols.la
946 endif
947
948 if BUILD_PLUGIN_REDIS
949 pkglib_LTLIBRARIES += redis.la
950 redis_la_SOURCES = redis.c
951 redis_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBCREDIS_LDFLAGS)
952 redis_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBCREDIS_CPPFLAGS)
953 redis_la_LIBADD = -lcredis
954 collectd_LDADD += "-dlopen" redis.la
955 collectd_DEPENDENCIES += redis.la
956 endif
957
958 if BUILD_PLUGIN_ROUTEROS
959 pkglib_LTLIBRARIES += routeros.la
960 routeros_la_SOURCES = routeros.c
961 routeros_la_CPPFLAGS = $(BUILD_WITH_LIBROUTEROS_CPPFLAGS)
962 routeros_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBROUTEROS_LDFLAGS)
963 routeros_la_LIBADD = -lrouteros
964 collectd_LDADD += "-dlopen" routeros.la
965 collectd_DEPENDENCIES += routeros.la
966 endif
967
968 if BUILD_PLUGIN_RRDCACHED
969 pkglib_LTLIBRARIES += rrdcached.la
970 rrdcached_la_SOURCES = rrdcached.c utils_rrdcreate.c utils_rrdcreate.h
971 rrdcached_la_LDFLAGS = -module -avoid-version
972 rrdcached_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBRRD_CFLAGS)
973 rrdcached_la_LIBADD = $(BUILD_WITH_LIBRRD_LDFLAGS)
974 collectd_LDADD += "-dlopen" rrdcached.la
975 collectd_DEPENDENCIES += rrdcached.la
976 endif
977
978 if BUILD_PLUGIN_RRDTOOL
979 pkglib_LTLIBRARIES += rrdtool.la
980 rrdtool_la_SOURCES = rrdtool.c utils_rrdcreate.c utils_rrdcreate.h
981 rrdtool_la_LDFLAGS = -module -avoid-version
982 rrdtool_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBRRD_CFLAGS)
983 rrdtool_la_LIBADD = $(BUILD_WITH_LIBRRD_LDFLAGS)
984 collectd_LDADD += "-dlopen" rrdtool.la
985 collectd_DEPENDENCIES += rrdtool.la
986 endif
987
988 if BUILD_PLUGIN_SENSORS
989 pkglib_LTLIBRARIES += sensors.la
990 sensors_la_SOURCES = sensors.c
991 sensors_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBSENSORS_CFLAGS)
992 sensors_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBSENSORS_LDFLAGS)
993 sensors_la_LIBADD = -lsensors
994 collectd_LDADD += "-dlopen" sensors.la
995 collectd_DEPENDENCIES += sensors.la
996 endif
997
998 if BUILD_PLUGIN_SERIAL
999 pkglib_LTLIBRARIES += serial.la
1000 serial_la_SOURCES = serial.c
1001 serial_la_LDFLAGS = -module -avoid-version
1002 collectd_LDADD += "-dlopen" serial.la
1003 collectd_DEPENDENCIES += serial.la
1004 endif
1005
1006 if BUILD_PLUGIN_SNMP
1007 pkglib_LTLIBRARIES += snmp.la
1008 snmp_la_SOURCES = snmp.c
1009 snmp_la_LDFLAGS = -module -avoid-version
1010 snmp_la_CFLAGS = $(AM_CFLAGS)
1011 snmp_la_LIBADD =
1012 if BUILD_WITH_LIBNETSNMP
1013 snmp_la_CFLAGS += $(BUILD_WITH_LIBSNMP_CFLAGS)
1014 snmp_la_LIBADD += $(BUILD_WITH_LIBSNMP_LIBS)
1015 endif
1016 if BUILD_WITH_LIBPTHREAD
1017 snmp_la_LIBADD += -lpthread
1018 endif
1019 collectd_LDADD += "-dlopen" snmp.la
1020 collectd_DEPENDENCIES += snmp.la
1021 endif
1022
1023 if BUILD_PLUGIN_SWAP
1024 pkglib_LTLIBRARIES += swap.la
1025 swap_la_SOURCES = swap.c
1026 swap_la_CFLAGS = $(AM_CFLAGS)
1027 swap_la_LDFLAGS = -module -avoid-version
1028 swap_la_LIBADD =
1029 collectd_LDADD += "-dlopen" swap.la
1030 collectd_DEPENDENCIES += swap.la
1031 if BUILD_WITH_LIBKSTAT
1032 swap_la_LIBADD += -lkstat
1033 endif
1034 if BUILD_WITH_LIBDEVINFO
1035 swap_la_LIBADD += -ldevinfo
1036 endif
1037 if BUILD_WITH_LIBKVM_GETSWAPINFO
1038 swap_la_LIBADD += -lkvm
1039 endif
1040 if BUILD_WITH_LIBSTATGRAB
1041 swap_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
1042 swap_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
1043 endif
1044 if BUILD_WITH_PERFSTAT
1045 swap_la_LIBADD += -lperfstat
1046 endif
1047
1048 endif
1049
1050 if BUILD_PLUGIN_SYSLOG
1051 pkglib_LTLIBRARIES += syslog.la
1052 syslog_la_SOURCES = syslog.c
1053 syslog_la_LDFLAGS = -module -avoid-version
1054 collectd_LDADD += "-dlopen" syslog.la
1055 collectd_DEPENDENCIES += syslog.la
1056 endif
1057
1058 if BUILD_PLUGIN_TABLE
1059 pkglib_LTLIBRARIES += table.la
1060 table_la_SOURCES = table.c
1061 table_la_LDFLAGS = -module -avoid-version
1062 collectd_LDADD += "-dlopen" table.la
1063 collectd_DEPENDENCIES += table.la
1064 endif
1065
1066 if BUILD_PLUGIN_TAIL
1067 pkglib_LTLIBRARIES += tail.la
1068 tail_la_SOURCES = tail.c
1069 tail_la_LDFLAGS = -module -avoid-version
1070 collectd_LDADD += "-dlopen" tail.la
1071 collectd_DEPENDENCIES += tail.la
1072 endif
1073
1074 if BUILD_PLUGIN_TAPE
1075 pkglib_LTLIBRARIES += tape.la
1076 tape_la_SOURCES = tape.c
1077 tape_la_LDFLAGS = -module -avoid-version
1078 tape_la_LIBADD = -lkstat -ldevinfo
1079 collectd_LDADD += "-dlopen" tape.la
1080 collectd_DEPENDENCIES += tape.la
1081 endif
1082
1083 if BUILD_PLUGIN_TARGET_NOTIFICATION
1084 pkglib_LTLIBRARIES += target_notification.la
1085 target_notification_la_SOURCES = target_notification.c
1086 target_notification_la_LDFLAGS = -module -avoid-version
1087 collectd_LDADD += "-dlopen" target_notification.la
1088 collectd_DEPENDENCIES += target_notification.la
1089 endif
1090
1091 if BUILD_PLUGIN_TARGET_REPLACE
1092 pkglib_LTLIBRARIES += target_replace.la
1093 target_replace_la_SOURCES = target_replace.c
1094 target_replace_la_LDFLAGS = -module -avoid-version
1095 collectd_LDADD += "-dlopen" target_replace.la
1096 collectd_DEPENDENCIES += target_replace.la
1097 endif
1098
1099 if BUILD_PLUGIN_TARGET_SCALE
1100 pkglib_LTLIBRARIES += target_scale.la
1101 target_scale_la_SOURCES = target_scale.c
1102 target_scale_la_LDFLAGS = -module -avoid-version
1103 collectd_LDADD += "-dlopen" target_scale.la
1104 collectd_DEPENDENCIES += target_scale.la
1105 endif
1106
1107 if BUILD_PLUGIN_TARGET_SET
1108 pkglib_LTLIBRARIES += target_set.la
1109 target_set_la_SOURCES = target_set.c
1110 target_set_la_LDFLAGS = -module -avoid-version
1111 collectd_LDADD += "-dlopen" target_set.la
1112 collectd_DEPENDENCIES += target_set.la
1113 endif
1114
1115 if BUILD_PLUGIN_TARGET_V5UPGRADE
1116 pkglib_LTLIBRARIES += target_v5upgrade.la
1117 target_v5upgrade_la_SOURCES = target_v5upgrade.c
1118 target_v5upgrade_la_LDFLAGS = -module -avoid-version
1119 collectd_LDADD += "-dlopen" target_v5upgrade.la
1120 collectd_DEPENDENCIES += target_v5upgrade.la
1121 endif
1122
1123 if BUILD_PLUGIN_TCPCONNS
1124 pkglib_LTLIBRARIES += tcpconns.la
1125 tcpconns_la_SOURCES = tcpconns.c
1126 tcpconns_la_LDFLAGS = -module -avoid-version
1127 tcpconns_la_LIBADD =
1128 collectd_LDADD += "-dlopen" tcpconns.la
1129 collectd_DEPENDENCIES += tcpconns.la
1130 if BUILD_WITH_LIBKVM_NLIST
1131 tcpconns_la_LIBADD += -lkvm
1132 endif
1133 endif
1134
1135 if BUILD_PLUGIN_TEAMSPEAK2
1136 pkglib_LTLIBRARIES += teamspeak2.la
1137 teamspeak2_la_SOURCES = teamspeak2.c
1138 teamspeak2_la_LDFLAGS = -module -avoid-version
1139 collectd_LDADD += "-dlopen" teamspeak2.la
1140 collectd_DEPENDENCIES += teamspeak2.la
1141 endif
1142
1143 if BUILD_PLUGIN_TED
1144 pkglib_LTLIBRARIES += ted.la
1145 ted_la_SOURCES = ted.c
1146 ted_la_LDFLAGS = -module -avoid-version
1147 collectd_LDADD += "-dlopen" ted.la
1148 collectd_DEPENDENCIES += ted.la
1149 endif
1150
1151 if BUILD_PLUGIN_THERMAL
1152 pkglib_LTLIBRARIES += thermal.la
1153 thermal_la_SOURCES = thermal.c
1154 thermal_la_LDFLAGS = -module -avoid-version
1155 collectd_LDADD += "-dlopen" thermal.la
1156 collectd_DEPENDENCIES += thermal.la
1157 endif
1158
1159 if BUILD_PLUGIN_THRESHOLD
1160 pkglib_LTLIBRARIES += threshold.la
1161 threshold_la_SOURCES = threshold.c
1162 threshold_la_LDFLAGS = -module -avoid-version
1163 collectd_LDADD += "-dlopen" threshold.la
1164 collectd_DEPENDENCIES += threshold.la
1165 endif
1166
1167 if BUILD_PLUGIN_TOKYOTYRANT
1168 pkglib_LTLIBRARIES += tokyotyrant.la
1169 tokyotyrant_la_SOURCES = tokyotyrant.c
1170 tokyotyrant_la_CPPFLAGS  = $(AM_CPPFLAGS) $(BUILD_WITH_LIBTOKYOTYRANT_CPPFLAGS)
1171 tokyotyrant_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBTOKYOTYRANT_LDFLAGS)
1172 tokyotyrant_la_LIBADD  = $(BUILD_WITH_LIBTOKYOTYRANT_LIBS)
1173 if BUILD_WITH_LIBSOCKET
1174 tokyotyrant_la_LIBADD += -lsocket
1175 endif
1176 collectd_LDADD += "-dlopen" tokyotyrant.la
1177 collectd_DEPENDENCIES += tokyotyrant.la
1178 endif
1179
1180 if BUILD_PLUGIN_UNIXSOCK
1181 pkglib_LTLIBRARIES += unixsock.la
1182 unixsock_la_SOURCES = unixsock.c \
1183                       utils_cmd_flush.h utils_cmd_flush.c \
1184                       utils_cmd_getval.h utils_cmd_getval.c \
1185                       utils_cmd_listval.h utils_cmd_listval.c \
1186                       utils_cmd_putval.h utils_cmd_putval.c \
1187                       utils_cmd_putnotif.h utils_cmd_putnotif.c
1188 unixsock_la_LDFLAGS = -module -avoid-version
1189 unixsock_la_LIBADD = -lpthread
1190 collectd_LDADD += "-dlopen" unixsock.la
1191 collectd_DEPENDENCIES += unixsock.la
1192 endif
1193
1194 if BUILD_PLUGIN_UPTIME
1195 pkglib_LTLIBRARIES += uptime.la
1196 uptime_la_SOURCES = uptime.c
1197 uptime_la_CFLAGS = $(AM_CFLAGS)
1198 uptime_la_LDFLAGS = -module -avoid-version
1199 uptime_la_LIBADD =
1200 if BUILD_WITH_LIBKSTAT
1201 uptime_la_LIBADD += -lkstat
1202 endif
1203 collectd_LDADD += "-dlopen" uptime.la
1204 collectd_DEPENDENCIES += uptime.la
1205 endif
1206
1207 if BUILD_PLUGIN_USERS
1208 pkglib_LTLIBRARIES += users.la
1209 users_la_SOURCES = users.c
1210 users_la_CFLAGS = $(AM_CFLAGS)
1211 users_la_LDFLAGS = -module -avoid-version
1212 users_la_LIBADD =
1213 if BUILD_WITH_LIBSTATGRAB
1214 users_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
1215 users_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
1216 endif
1217 collectd_LDADD += "-dlopen" users.la
1218 collectd_DEPENDENCIES += users.la
1219 endif
1220
1221 if BUILD_PLUGIN_UUID
1222 pkglib_LTLIBRARIES += uuid.la
1223 uuid_la_SOURCES = uuid.c
1224 uuid_la_CFLAGS  = $(AM_CFLAGS) $(BUILD_WITH_LIBHAL_CFLAGS)
1225 uuid_la_LIBADD  = $(BUILD_WITH_LIBHAL_LIBS)
1226 uuid_la_LDFLAGS = -module -avoid-version
1227 collectd_LDADD += "-dlopen" uuid.la
1228 collectd_DEPENDENCIES += uuid.la
1229 endif
1230
1231 if BUILD_PLUGIN_VARNISH
1232 pkglib_LTLIBRARIES += varnish.la
1233 varnish_la_SOURCES = varnish.c
1234 varnish_la_LDFLAGS = -module -avoid-version
1235 varnish_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBVARNISH_CFLAGS)
1236 varnish_la_LIBADD = $(BUILD_WITH_LIBVARNISH_LIBS)
1237 collectd_LDADD += "-dlopen" varnish.la
1238 collectd_DEPENDENCIES += varnish.la
1239 endif
1240
1241 if BUILD_PLUGIN_VMEM
1242 pkglib_LTLIBRARIES += vmem.la
1243 vmem_la_SOURCES = vmem.c
1244 vmem_la_LDFLAGS = -module -avoid-version
1245 collectd_LDADD += "-dlopen" vmem.la
1246 collectd_DEPENDENCIES += vmem.la
1247 endif
1248
1249 if BUILD_PLUGIN_VSERVER
1250 pkglib_LTLIBRARIES += vserver.la
1251 vserver_la_SOURCES = vserver.c
1252 vserver_la_LDFLAGS = -module -avoid-version
1253 collectd_LDADD += "-dlopen" vserver.la
1254 collectd_DEPENDENCIES += vserver.la
1255 endif
1256
1257 if BUILD_PLUGIN_WIRELESS
1258 pkglib_LTLIBRARIES += wireless.la
1259 wireless_la_SOURCES = wireless.c
1260 wireless_la_LDFLAGS = -module -avoid-version
1261 collectd_LDADD += "-dlopen" wireless.la
1262 collectd_DEPENDENCIES += wireless.la
1263 endif
1264
1265 if BUILD_PLUGIN_WRITE_GRAPHITE
1266 pkglib_LTLIBRARIES += write_graphite.la
1267 write_graphite_la_SOURCES = write_graphite.c \
1268                         utils_format_graphite.c utils_format_graphite.h \
1269                         utils_format_json.c utils_format_json.h
1270 write_graphite_la_LDFLAGS = -module -avoid-version
1271 collectd_LDADD += "-dlopen" write_graphite.la
1272 collectd_DEPENDENCIES += write_graphite.la
1273 endif
1274
1275 if BUILD_PLUGIN_WRITE_HTTP
1276 pkglib_LTLIBRARIES += write_http.la
1277 write_http_la_SOURCES = write_http.c \
1278                         utils_format_json.c utils_format_json.h
1279 write_http_la_LDFLAGS = -module -avoid-version
1280 write_http_la_CFLAGS = $(AM_CFLAGS)
1281 write_http_la_LIBADD =
1282 collectd_LDADD += "-dlopen" write_http.la
1283 if BUILD_WITH_LIBCURL
1284 write_http_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
1285 write_http_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
1286 endif
1287 collectd_DEPENDENCIES += write_http.la
1288 endif
1289
1290 if BUILD_PLUGIN_WRITE_MONGODB
1291 pkglib_LTLIBRARIES += write_mongodb.la
1292 write_mongodb_la_SOURCES = write_mongodb.c
1293 write_mongodb_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBMONGOC_CPPFLAGS)
1294 write_mongodb_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBMONGOC_LDFLAGS)
1295 write_mongodb_la_LIBADD = -lmongoc
1296 collectd_LDADD += "-dlopen" write_mongodb.la
1297 collectd_DEPENDENCIES += write_mongodb.la
1298 endif
1299
1300 if BUILD_PLUGIN_WRITE_REDIS
1301 pkglib_LTLIBRARIES += write_redis.la
1302 write_redis_la_SOURCES = write_redis.c
1303 write_redis_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBCREDIS_LDFLAGS)
1304 write_redis_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBCREDIS_CPPFLAGS)
1305 write_redis_la_LIBADD = -lcredis
1306 collectd_LDADD += "-dlopen" write_redis.la
1307 collectd_DEPENDENCIES += write_redis.la
1308 endif
1309
1310 if BUILD_PLUGIN_XMMS
1311 pkglib_LTLIBRARIES += xmms.la
1312 xmms_la_SOURCES = xmms.c
1313 xmms_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBXMMS_CFLAGS)
1314 xmms_la_LDFLAGS = -module -avoid-version
1315 xmms_la_LIBADD = $(BUILD_WITH_LIBXMMS_LIBS)
1316 collectd_LDADD += "-dlopen" xmms.la
1317 collectd_DEPENDENCIES += xmms.la
1318 endif
1319
1320 if BUILD_PLUGIN_ZFS_ARC
1321 pkglib_LTLIBRARIES += zfs_arc.la
1322 zfs_arc_la_SOURCES = zfs_arc.c
1323 zfs_arc_la_CFLAGS = $(AM_CFLAGS)
1324 zfs_arc_la_LDFLAGS = -module -avoid-version
1325 zfs_arc_la_LIBADD = -lkstat
1326 collectd_LDADD += "-dlopen" zfs_arc.la
1327 collectd_DEPENDENCIES += zfs_arc.la
1328 endif
1329
1330 dist_man_MANS = collectd.1 \
1331                 collectd.conf.5 \
1332                 collectd-email.5 \
1333                 collectd-exec.5 \
1334                 collectdctl.1 \
1335                 collectd-java.5 \
1336                 collectdmon.1 \
1337                 collectd-nagios.1 \
1338                 collectd-perl.5 \
1339                 collectd-python.5 \
1340                 collectd-snmp.5 \
1341                 collectd-threshold.5 \
1342                 collectd-unixsock.5 \
1343                 types.db.5
1344
1345 #collectd_1_SOURCES = collectd.pod
1346
1347 EXTRA_DIST = types.db pinba.proto
1348
1349 EXTRA_DIST +=   collectd.conf.pod \
1350                 collectd-email.pod \
1351                 collectd-exec.pod \
1352                 collectdctl.pod \
1353                 collectd-java.pod \
1354                 collectdmon.pod \
1355                 collectd-nagios.pod \
1356                 collectd-perl.pod \
1357                 collectd-python.pod \
1358                 collectd.pod \
1359                 collectd-snmp.pod \
1360                 collectd-threshold.pod \
1361                 collectd-unixsock.pod \
1362                 postgresql_default.conf \
1363                 types.db.pod
1364
1365 .pod.1:
1366         pod2man --release=$(VERSION) --center=$(PACKAGE) $< \
1367                 >.pod2man.tmp.$$$$ 2>/dev/null && mv -f .pod2man.tmp.$$$$ $@ || true
1368         @if grep '\<POD ERRORS\>' $@ >/dev/null 2>&1; \
1369         then \
1370                 echo "$@ has some POD errors!"; false; \
1371         fi
1372
1373 .pod.5:
1374         pod2man --section=5 --release=$(VERSION) --center=$(PACKAGE) $< \
1375                 >.pod2man.tmp.$$$$ 2>/dev/null && mv -f .pod2man.tmp.$$$$ $@ || true
1376         @if grep '\<POD ERRORS\>' $@ >/dev/null 2>&1; \
1377         then \
1378                 echo "$@ has some POD errors!"; false; \
1379         fi
1380
1381 pinba.pb-c.c pinba.pb-c.h: pinba.proto
1382         protoc-c --c_out . pinba.proto
1383
1384 install-exec-hook:
1385         $(mkinstalldirs) $(DESTDIR)$(sysconfdir)
1386         if test -e $(DESTDIR)$(sysconfdir)/collectd.conf; \
1387         then \
1388                 $(INSTALL) -m 0640 collectd.conf $(DESTDIR)$(sysconfdir)/collectd.conf.pkg-orig; \
1389         else \
1390                 $(INSTALL) -m 0640 collectd.conf $(DESTDIR)$(sysconfdir)/collectd.conf; \
1391         fi; \
1392         $(mkinstalldirs) $(DESTDIR)$(pkgdatadir)
1393         $(INSTALL) -m 0644 $(srcdir)/types.db $(DESTDIR)$(pkgdatadir)/types.db;
1394         $(INSTALL) -m 0644 $(srcdir)/postgresql_default.conf \
1395                 $(DESTDIR)$(pkgdatadir)/postgresql_default.conf;